KR20000016385A - 플라즈마 생성원, 진공 펌핑 배치 및/또는 외팔보형 기판 지지체와 같은 장비 모듈을 구비하는 만능 진공 챔버 - Google Patents

플라즈마 생성원, 진공 펌핑 배치 및/또는 외팔보형 기판 지지체와 같은 장비 모듈을 구비하는 만능 진공 챔버 Download PDF

Info

Publication number
KR20000016385A
KR20000016385A KR1019980709959A KR19980709959A KR20000016385A KR 20000016385 A KR20000016385 A KR 20000016385A KR 1019980709959 A KR1019980709959 A KR 1019980709959A KR 19980709959 A KR19980709959 A KR 19980709959A KR 20000016385 A KR20000016385 A KR 20000016385A
Authority
KR
South Korea
Prior art keywords
chamber
substrate support
opening
vacuum
support
Prior art date
Application number
KR1019980709959A
Other languages
English (en)
Other versions
KR100429581B1 (ko
Inventor
닐 벤자민
존 힐버트
스테파노 망가노
Original Assignee
리차드 에이치. 로브그렌
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 리차드 에이치. 로브그렌, 램 리서치 코포레이션 filed Critical 리차드 에이치. 로브그렌
Publication of KR20000016385A publication Critical patent/KR20000016385A/ko
Application granted granted Critical
Publication of KR100429581B1 publication Critical patent/KR100429581B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel

Abstract

그 내부에 분리가능하게 장착된 기판 지지체를 구비하는 진공 공정 챔버에 관하여 개시한다. 이 챔버는 그 측벽에 개구를 가지고, 상기 개구는 기판 지지체가 이 개구를 통하여 챔버로부터 분리될 수 있을 정도로 크다. 규격화된 장착 배치는 상기 개구를 통과하여 연장되고, 챔버의 내부 측벽의 안쪽에 위치한 곳에서 기판 지지체를 분리가능하게 지지한다. 상기 장착 배치는 장착 플랜지 및 지지 암을 구비한다. 상기 장착 플랜지는 챔버의 외면에 부착되고, 상기 지지 암은 기판 지지체와 장착 플랜지 사이에서 연장된다. 챔버는 기판 지지체로부터 이격된 챔버의 단부벽의 중앙 부분에 단일의 진공 포트를 가진다. 상기 진공 포트는 챔버의 내부로부터 가스를 제거하고 챔버를 대기압 이하의 압력으로 유지시키는 진공 펌프에 연결된다. 상기 기판 지지체는 챔버의 측벽을 통하여 분리될 수 있기 때문에, 수리 또는 교체하기가 용이하다. 또한 상기 측벽 장착 기판 지지체는, 대형의 진공 포트가 챔버의 측벽에 위치되도록 하고, 따라서 진공 포트를 대용량 진공 펌프에 연결함으로써 고 유동성을 달성할 수 있다. 챔버는 또한, 규격화된 라이너, 규격화된 플라즈마 생성원 및 규격화된 진공 펌핑 배치를 구비할 수 있고, 그 각각은 호환성있는 기구로 교체될 수 있다.

Description

플라즈마 생성원, 진공 펌핑 배치 및/또는 외팔보형 기판 지지체와 같은 장비 모듈을 구비하는 만능 진공 챔버
진공 공정 챔버는 일반적으로, 진공 챔버에 식각 또는 증착 가스를 공급하고 이 가스에 고주파장(RF field)를 가하여 상기 가스를 플라즈마 상태로 여기시킴으로써, 기판상의 물질의 식각하고, 화학적 증기 증착(CVD)하는데 사용된다. 평행판, 유도 결합 플라즈마(inductively coupled plasma ; ICP)라고도 불리우는 트랜스 결합 플라즈마(transformer coupled plasma ; TCP) 및 전자-사이클로트론 공진기(ECR) 리엑터의 예들이, 일반 소유로 된 미국 특허 번호 4,340,462; 4,948,485; 5,200,232에 개시되어 있다. 진공 공정 챔버는 통상적으로, 거기에서 행해져야 하는 공정에 의존하는 성능 조건을 만족하도록 설계된다. 따라서 특정한 공정 챔버에 관련된 특정한 플라즈마 생성원, 진공 펌핑 배치 및 기판 지지체가 주문 제작되어야 하거나, 또는 성능 조건을 만족하도록 특별히 설계되어야 한다. 플라즈마 생성원, 진공 펌핑 배치 및 기판 지지체와 같은 보조적인 장비에 대한 규격화의 결여에 의하여, 생산 비용이 증가하고, 조립 시간이 느려지며, 이러한 보조적인 장비에 관한 융통성이 결핍된다.
공정이 진행되는 동안에, 기판은 통상적으로 기판 홀더에 의하여 진공 챔버 안의 제 위치에 유지된다. 통상적인 기판 홀더는 기계적 클램프 및 정전기적 클램프(ESC)를 구비한다. 기계적 클램프 및 정전기적 플램프 기판 홀더의 예들이 일반 소유로 된 미국 특허 번호 5,262,029 및 일반 소유로 된 미국 특허출원 번호 08/401,524(1995년 3월 10일 출원)에 제공되어 있다. 미국 특허 번호 4,579,618에 개시된 바와 같이, 전극 형상을 가지는 기판 홀더가 고주파(RF) 동력을 챔버에 공급할 수 있다. 기계적 클램프는 일반적으로, 기판을 둘러싸고 그 외주의 주위에서 상기 기판의 상단면을 아래로 가압하는 클래프 링을 채용하고 있다. 또한 기계적 클램프 링의 다른 예들이 미국 특허 번호 4,615,755; 5,013,400 및 5,326,725에 개시되어 있다.
평판 디스플레이를 가지는 기판 및 소형의 기판은 어떠한 공정 단계 동안에 기판 홀더에 의하여 냉각될 수 있다. 그러한 냉각은, 헬륨과 같은 불활성 가스를 기판 홀더와 이에 대향되는 기판의 표면 사이로 작용시킴으로써 수행된다. 예를 들면 미국 특허 번호 5,160,152; 5,238,499; 5,350,479; 및 5,534,816을 참조하라, 상기 냉각 가스는 통상적으로 기판 홀더의 채널들 또는 홈의 패턴으로 공급되어서, 상기 기판이 기계적 플램핑 장치에 의하여 오로지 가장자리를 따라서 유지될 때 그 기판이 중앙에서 위쪽으로 굽어지도록 만드는 배압을 상기 기판에 작용시킨다.
기판 상부면의 부분을 넘어서 연장되는 클램핑 링을 피하는 것이 바람직한 상황에서는, 반도전성 및 도전성 기판을 진공 챔버 내부의 제 위치에 유지하는 데에는 정전기적 척(chuck)이 사용된다. 단극 타입의 정전기적 척은 단일의 전극을 사용한다. 에를 들면, 미국 특허 번호 4,665,463을 참조하라, 복극 타입의 정전기적 척은, 절연체층에 의하여 분리된 2개의 전기 충전된 콘덴서 플레이트 사이의 인력을 사용한다. 예를 들면, 미국 특허 출원 번호 4,692,836 및 5,055,964를 참조하라.
진공 공정 챔버용 기판 지지체는 통상적으로 챔버의 바닥 벽상에 장착되어 있어서, 기판 지지체의 수리 및 교체를 어렵게 하고, 시간을 허비하게 한다. 이러한 바닥 장착 기판 지지체는 미국 특허 번호 4,340,462; 4,534,816; 4,579,618; 4,615,755; 4,948,458; 5,200,232; 및 5,262,029에서 찾아볼 수 있다. 그러나 만약 상기 기판 지지체가 공정 챔버의 측벽을 통과하여 접근될 수 있고 그리고/또는 수리될 수 있다면, 이것이 바람직할 것이다. 더욱이 상기 공정 챔버를 통과하는 향상된 가스 유동을 제공하는 기판 배치가 또한, 반도체 기판을 처리하는데 매우 유리할 것이다.
종래의 진공 공정 챔버의 하나의 단점은, 가스 유동 경로의 제한 및/또는 저압 달성의 불가능에 의하여, 이러한 챔버가 저압 분위기에서 높은 유동성을 제공할 수 없을지도 모른다는 점이다. 따라서 저압에서 고 전도성(즉 저 유동 제한성)을 달성하는 진공 공정 챔버가 매우 바람직하다. 예를 들면, 저압(예를 들면 10 mTorr 이하)에서 고 유동성(예를 들면 적어도 200 sccm)을 달성하는 진공 공정 챔버가, 반도체 기판을 처리하는데 극히 유리할 것이다.
본 발명은 반도체 웨이퍼와 같은 공정 기판용 장비에 관한 것이다. 이 장비는 플라즈마 생성원, 진공 펌핑 배치 및/또는 외팔보형 기판 지지체와 같은 장비 모듈에 연결되기에 적합하도록 된 만능 하우징을 구비한다.
도 1은 본 발명에 따른 진공 공정 챔버의 개략도이다.
도 2는 도 1에 도시된 기판 지지체 및 상단 벽 장착 플라즈마 생성 장치를 가지지 않은 본 발명에 따른 진공 공정 챔버의 개략도이다.
도 3은 본 발명에 따른 기판 지지체의 개략도이다.
도 4는 그 안에 본 발명에 따른 기판 지지체 조립체가 장착되고 그러나 여기에서 도 1의 상단 장착 플라즈마 생성 장치가 생략된 진공 공정 챔버의 개략도이다.
도 5는 본 발명에 따른 진공 공정 챔버의 만능 챔버 하우징의 단면도로서, 상기 하우징이 외팔보형 기판 지지체 및 진공 펌프를 구비한 것을 도시한 도면이다.
도 6은 도 5의 만능 하우징의 사시도이다.
도 7은 도 5의 만능 하우징의 측면도이다.
도 8은 도 5의 만능 하우징의 평면도이다.
도 9는 외팔보형 기판 지지체 및 상류 플라즈마 생성원을 구비하는 본 발명의 다른 구현예에 따른 만능 하우징의 단면도이다.
도 10은 본 발명에 따른 또 다른 진공 공정 챔버의 단면도이다.
본 발명은, 향상된 수리(修理) 편리성과 구성요소의 선택에 있어서의 융통성 및/또는 특히 저압에서의 가스 유동의 향상된 균일성을 가지는 진공 공정 챔버를 제공한다. 상기 향상된 수리 편리성은, 플라즈마 생성원과 기판 지지체 및/또는 진공 펌프가 수리 또는 교체를 위하여 챔버로부터 용이하게 분리되도록 허용하는 장착 배치에 의하여 제공된다. 상기 장착 배치가 호환성이 있기 때문에, 챔버는 용이하게 변경되어서 원하는 플라즈마 생성원 모듈을 기판 지지체에 근접되게 또는 그 상류에 수용할 수 있고, 기계적 척 또는 정전기적 척을 구비하고 있는 모듈과 같은 요구되는 기판 지지체 모듈이 챔버에 장착될 수 있으며, 그리고/또는 요구되는 펌핑 속도를 가지는 진공 펌프 모듈이 챔버에 부착될 수 있다. 따라서 상기 챔버는, 플라즈마 식각 또는 CVD와 같은 공정들에 유용한 여러 가지 타입의 진공 챔버를 만드는 만능 하우징으로서 작용한다.
본 발명의 첫 번째 측면에 따르면, 제1 및 제2 단부벽과 그 사이에서 연장되는 측벽을 구비하는 진공 공정 챔버의 만능 하우징이 제공된다. 상기 챔버는 상기 제1 단부벽에 제1 개구를, 상기 제2 단부벽에 제2 개구를, 그리고/또는 상기 측벽에 제3 개구를 가질 수 있다. 상기 각 개구들은, 결합될 장착 배치와 상호작용하는 장착 배치에 의하여 둘러써여질 수 있고, 상기 결합될 장착 배치는 진공 펌프 모듈, 플라즈마 생성원 모듈 및/또는 기판 지지체 모듈에 부착된다. 예를 들면, 상기 제1 개구를 둘러싸는 장착 배치는 플라즈마 생성원 모듈에 부착되는 장착 배치와 결합되고, 상기 제2 개구를 둘러싸는 장착 배치는 진공 펌프에 부착되는 장착 배치와 결합되며, 상기 제3 개구를 둘러싸는 장착 배치는 기판 지지체 모듈에 부착되는 규격화된 장착 배치와 결합될 수 있다. 상기 각 개구들은 진공 씨일(seal) 및 고주파 차폐 부재(예를 들면 고주파 가스켓)을 구비할 수 있다.
상기 제1 개구는 원형으로서, 제1 및 제2 오목면을 가질 수 있는데, 여기에서 상기 제1 오목면은 상기 제2 오목면의 안쪽에 위치한다. 상기 제1 개구의 밀폐를 위하여, 상기 각 오목면들의 홈에는 O-링이 위치될 수 있다. 상기 제3 개구를 둘러싸는 장착 배치는, 지지 암 및 기판 지지체를 구비하는 외팔보형 기판 지지체 조립체에 부착되는 장착 배치와 결합되는 것이 바람직하다. 챔버 내부의 상기 기판 지지체를 분리하거나 조립하기 위하여, 상기 지지 암 및 기판 지지체가 상기 제3 개구(예를 들면 직사각형과 같이 비-원형일 수 있다)를 통과하도록 그 크기가 정해질 수 있다. 상기 제2 개구를 둘러싸는 장착 배치는 진공 펌프 모듈에 부착되는 장착 배치와 결합되는 것이 바람직하다. 상기 챔버의 측벽은 실린더 형상의 측벽을 가질 수 있고, 상기 제2 개구는 원형일 수 있는데, 여기에서 상기 제2 개구에 의하여 정해지는 유동 면적은 상기 챔버의 실린더 형상의 측벽에 의하여 정해지는 최대 횡단면적의 적어도 1/3배가 된다.
본 발명의 다른 구현예에 따르면, 외팔보 방식으로 그 내부에 분리가능하게 장착된 기판 지지체를 가지는 진공 공정 챔버가 제공된다. 이 기판 지지체는, 챔버의 측벽의 개구를 통과하여 연장되는 장착 배치에 의하여, 진공 공정 챔버의 내부에 장착된다. 상기 개구는, 상기 기판 지지체가 이 개구를 통과하여 챔버로부터 분리되는 것을 허용할 정도로 충분히 크다. 상기 장착 배치는, 챔버의 내부 측벽면의 안쪽에 위치한 곳에서, 챔버 내부의 기판 지지체를 분리가능하게 지지한다.
본 발명의 다양한 측면에 따르면, 공정 챔버는, 고밀도 플라즈마가 기판 지지체에 인접한 영역에 마련되는 고밀도 플라즈마 공정 챔버일 수 있다. 기판 지지체는, 반도체 기판을 이 기판 지지체의 지지면 상에 클램프시키는 처킹 장치를 구비할 수 있다. 챔버는 그 단부벽의 중앙부에 진공 포트를 가질 수 있고, 상기 단부벽은 개방 영역에 의하여 상기 기판 지지체로부터 분리된다. 상기 진공 포트는, 챔버의 내부로부터 가스를 제거하고 챔버를 100 mTorr 이하와 같이 원하는 압력으로 유지하는 진공 펌프에 연결될 수 있다.
상기 장착 배치는 장착 플랜지 및 수평으로 연장되는 지지 암을 구비할 수 있는데, 상기 지지 암의 일단은 기판 지지체에 부착되고, 그 타단은 상기 장착 플랜지에 부착된다. 상기 장착 플랜지는 챔버의 측벽에 있는 개구에 끼워지는 부분을 가질 수 있다. 상기 개구는 챔버의 내부를 향하는 방향으로 갈수록 그 크기가 감소하도록 경사질 수 있고, 상기 부분은 상기 개구와 이 부분의 결합면이 경사 끼워맞춤을 제공하도록 경사질 수 있다. 챔버는 상기 기판 지지체를 둘러싸는 실린더 형상의 내면을 가질 수 있다. 챔버의 측벽의 개구는 상기 실린더 형상의 면을 통과하여 연장될 수 있고, 상기 장착 플랜지의 상기 부분은 챔버의 내면을 향하는 곡면을 가질 수 있는데, 여기에서 상기 곡면의 가장자리는 상기 실린더 형사의 면의 개구의 가장자리를 따라 놓여진다. 상기 지지 암은, 상기 기판 지지체의 외주를 지나간 가스 유동이 실질적으로 균일하게 되도록, 이 기판 지지체에 부착된다. 상기 장착 배치는, 상기 개구를 둘러싸고 챔버와 이 장착 배치 사이에 진공 밀폐를 유지시키는 진공 씨일을 구비할 수 있다. 상기 지지 암은 그 내부에 수리 도관들을 가질 수 있다. 이러한 수리 도관들은, 기판의 배면측 냉각을 위하여 냉각 가스를 상기 기판 지지체 상으로 공급하고, 상기 기판 지지체의 온도 조절을 위하여 냉각 액체를 공급하고, 상기 기판 지지체의 고주파 바이어스 전극에 에너지를 가하기 위하여 고주파 동력을 공급하고, 승강 핀 메카니즘을 구동하기 위하여 가압 가스를 공급하고, 배면측 압력, 기판 지지체 온도 등과 같은 모니터링 장비의 전기 신호 라인을 공급하고, 지지 암의 내부로부터 슴기를 제거하기 위하여 질소 또는 깨끗한 공기를 공급하고 그리고/또는 기판 지지체의 여러 가지 구성부품들을 구동시키키 위하여 전기 동력 라인을 공급할 수 있다.
본 발명은 또한 상기에서 언급한 공정 챔버에서 기판을 처리하는 방법을 제공한다. 이 방법은, 상기 기판 지지체의 지지면 상의 위치에서 기판을 공정 챔버에 공급하는 것, 상기 기판을 상기 기판 지지체의 지지면에 클램핑하는 것 및 기판을 공정 처리하는 것을 포함할 수 있다. 이 공정은, 기판과 기판 지지체의 지지면 사이로 열전달 가스를 공급하는 것을 포함할 수 있다. 상기 공정 단계 동안에, 기판의 노출면이 식각되거나 코팅될 수 있다. 상기 공정 챔버는 ECR 리액터, TCP/ICP 리액터 또는 평행판 리액터, 헬리콘 리액터, 나선형 공진기 리액터, 등방성 식각, 마이크로파 하류 리액터 또는 포토레지스트 스트립 챔버의 일부가 될 수 있다. 상기 기판 지지체는, 유리 패널, 반도체 웨이퍼 등과 같은 기판을 지지하는 기계적 또는 정전기적 처크를 구비할 수 있다.
이하에서 첨부된 도면을 참조하면서 본 발명을 더욱 상세히 설명한다. 여기에서 동일한 참조부호는 동일한 구성요소를 나타낸다.
본 발명은 플라즈마 생성원, 기판 지지체 및 진공 펌핑 배치와 같은 구성요소(또한 이들은 모듈이라 지칭된다)에 대하여, 향상된 수리 편리성, 디자인상의 융통성 및 작업성 및/또는 극히 낮은 압력에서 가스 유동의 향상된 균일성을 가지는 진공 공정 챔버를 제공한다.
수리 편리성에 관련하여, 종래의 챔버 디자인은 챔버의 여러 가지 구성요소에 용이하게 접근하는 것을 허용하지 않아서, 챔버 구성요소들의 수리 또는 교체를 위해서는 큰 노력과 많은 시간이 소요되는 과정을 요구한다. 본 발명에 따르면, 플라즈마 생성원, 기판 지지체 및/또는 진공 펌핑 배치는, 챔버로부터 떨어진 위치에서 쉽게 수리하거나 그러한 구성요소들을 호환성있는 교체 구성부품으로 교체하기 위하여, 챔버로부터 용이하게 분리될 수 있다.
제작상의 융통성에 관련해서는, 본 발명에 의하여 진공 공정 장비의 제작자는, 공정의 타입(예를 들면 식각, 증착 등), 처리되는 물질(예를 들면 옥사이드, 폴리 실리콘, 금속, 질화물 등) 또는 기판의 크기(통상적으로 주어진 범위 내에 있는데, 즉 특정한 만능 챔버는 150 mm부터 300 mm까지와 같은 기판 크기의 범위에 적합하다)에 있어서 광범위하게 사용되는 만능 챔버를 만들 수 있다. 이러한 방식으로, 제작자는 특정 적용분야에 대하여 적당한 에너지원, 기판 지지체 및 진공 장치를 만능 챔버에 부착할 수 있다. 이것은, 원하는 적용분야, 요구되는 기판 크기, 요구되는 기판 지지체 등에 대하여 전체 시스템을 특별 제작하는 제작 방법과 대비된다. 따라서 제품의 발주에서부터 배달까지에 걸리는 시간이 상당히 절감될 수 있고, 발주상의 변동사항이나 취소를 더욱 더 용이하게 수용할 수 있다.
상기 디자인상의 융통성에 관련하여, 만능 챔버 하우징은 플라즈마 식각 또는 증착과 같은 특정한 공정을 수행하기 위하여 상호작용하는 하드웨어 구성요소들에 맞추어질 수 있다. 또한, 이러한 만능 챔버 하우징은, 상이한 공정을 수행하고 그리고/또는 상이한 기판 사이즈를 처리하고 그리고/또는 상이한 기판 지지체를 사용하기 위하여, 다른 구성요소들을 가지고 개장(改裝)될 수 있다. 만능 챔버 하우징은 장착 배치들(표준화된 접속 포트(port)와 같은)을 가지는데, 그 각각은 호환성있는 다양한 구성요소들을 수용한다. 그러한 "규격화"는 챔버를 수리하거나 개장하기 위한 비가동시간을 크게 절감한다. 각 종류의 모듈(예를 들면 에너지원, 진공 장치, 기판 지지체 등)은 챔버 상의 표준화된 접속 포트에 장착되도록 설계될 수 있다. 표준화된 접속 포트를 따르지 않는 모듈을 사용하는 것이 요구되는 경우에는, 상기 표준화된 인터페이스 포트에 모듈을 결합하는 어댑터 하드웨어를 모듈에 마련해 줄 수 있다.
본 발명은 또한 반도체 웨이퍼와 같은 반도체 기판이나 평판 디스플레이 기판 등을 처리하기 위한 고-유동성 챔버를 제공한다. 챔버의 바닥에서와 같은 단부벽에 큰 배출 포트을 마련함으로써, 그리고 가스 유동에 최소화된 저항 및 기판의 노출면을 가로지르는 가스 유동에 대하여 최소화된 비대칭성을 제공하는 지지 암 상에 기판 지지체를 장착함으로써, 상기 고-유동성이 부분적으로 달성된다.
본 발명의 일 측면에 따르면, 챔버는 그 측벽에 개구를 가지고, 기판 지지체는, 상기 챔버의 내부에 분리가능하게 장착되도록, 상기 개구를 통과하여 연장된다. 상기 기판 지지체가 측벽의 개구를 통하여 챔버로부터 완전히 분리될 수 있으므로, 이러한 장착 배치가 기판 지지체를 용이하게 수리하도록 허용한다. 본 발명 이전에는, 기판 지지체를 진공 공정 챔버의 바닥 벽에 장착하는 것이 통상적이었고, 측벽에 있는 또는 기판 지지체를 둘러싸는 챔버의 바닥 영역에 있는 배출 포트에 연결된 하나 또는 그 이상의 진공 펌프에 의하여 챔버가 배기되었다. 이러한 배치는 챔버의 이상적인 배기를 결코 제공하지 못하고, 기판 지지체의 수리를 어렵게 하고 시간을 허비하게 만든다.
본 발명에 따른 진공 공정 챔버는 식각, 증착, 레지스트 스트립 등과 같은 여러 가지 반도체 플라즈마 공정 단계에 사용될 수 있다. 유도 결합 플라즈마원을 가지는 진공 공정 챔버(10)의 일 예가 도 1에 도시되는데, 여기에서 공정 가스는 가스 분배 링, 가스 분배 플레이트, 주입 노즐 등과 같은 적당한 장치에 의하여 상기 공정 챔버(10)에 공급되고, 진공 챔버의 바닥과 같은 단부 벽의 대형 배출 포트(20)에 연결된 규격화된 장착 배치에 의하여 지지되는 적절한 진공 펌프 장치에 의하여 상기 챔버의 내부(30)의 진공이 유지된다. 상기 챔버의 상단과 같은 단부벽 상의 절연성 윈도우(50)의 외측에 있는 평면 코일(40)과 같은 외부 고주파 안테나를 통하여, 고주파 동력이 상기 진공 공정 챔버에 공급될 수 있다. 그러나 상기 플라즈마 발생원은, ECR 반응기, 평행 판 반응기, 헬리콘 반응기(helicon reactor), 나선형 공명기 등의 장비와 같은 다른 타입의 플라즈마 발생 장비가 될 수도 있다. 상기 플라즈마 발생원은, 챔버의 단부벽 상에 분리가능하게 장착된 환형의 장착 플랜지(42)와 같은 규격화된 장착 배치에 부착된다. 상기 장착 플랜지(42)와 챔버(10) 사이에서 진공 밀폐를 유지하기 위하여, O-링 씨일(44; O-ring seal)이 챔버(10)의 단부벽에 있는 홈 안에 끼워지고, 고주파 차폐 부재(46)가 상기 진공 씨일을 둘러싼다. 진공 펌프에 의하여 제공되는 큰 진공력 때문에, 상기 장착 플랜지(42)를 챔버(10)에 부착하기 위한 결합제을 필수적으로 사용해야 하는 것은 아니다. 그 대신에, 상기 장착 플랜지(42)는 챔버(10)의 단부벽 상에 단순히 놓여질 수 있다. 필요한 경우에는, 상기 장착 플랜지(42) 또는 플라즈마 발생원 조립체의 다른 부분이, 챔버(10)의 내부(30)를 수리하기 위하여 수직방향의 방위와 같은 방위로 플라즈마 발생원이 피봇될 수 있도록, 챔버(10)에 힌지 결합될 수 있다.
기판(60)은 챔버의 내부에서, 규격화된 장착 배치에 의하여 챔버(10)의 측벽(12)으로부터 분리가능하게 지지되는 기판 지지체(70) 상에 지지된다. 상기 기판 지지체(70)는 외팔보 방식으로 장착된 지지 암(80)의 일단에 위치함으로써, 기판 지지체/지지 암 조립체(70/80)를 도 2에 도시된 바와 같은 챔버의 측벽의 개구(14)를 통하여 통과시켜서 상기 조립체 전체가 챔버로부터 분리될 수 있도록 한다. 상기 기판 지지체(70)는, 기계적인 링 플램프(72)와 같은 처킹(chucking) 장치 및 절연성 윈도우(50) 아래 챔버의 중심부에 위치하는 고주파 바이어스 전극(74)을 구비할 수 있다. 대안으로서 상기 처킹 장치는, 수냉 채널들을 구비하고 챔버의 일부에 접지되는 알루미늄과 같은 도전성 물질로 만들어지는 정전기적 단극 처크나 산화 알루미늄과 같은 절연 물질층에 의하여 덮여진 도전성 전극들을 가지는 다극성 처크와 같이, 어떠한 적절한 배치을 구비할 수 있다.
본 발명에 따른 처킹 배치는 플라즈마 또는 비-플라즈마 분위기에서 사용될 수 있다. 따라서 이하에서는 본 발명의 특정한 구현예가 플라즈마 분위기에서 반도체 기판을 유지하기 위하여 사용되는 처크에 관하여 설명되지만, 본 발명에 따른 기판 지지체 조립체는 다른 공정 챔버들에서도 사용될 수 있다. 또한 상기 처킹 배치은 다음과 같은 것을 포함할 수 있다. 즉, (1)기계적인 클램프, (2)반도체 웨이퍼 또는 절연성 기판을 플라즈마, 비-플라즈마, 진공 또는 비-진공 부위기에서 유지하는 단극 ESC 또는 복극(bipolar), 다극(multi-polar) 또는 플럭스-라인(flux-line) ESC, (3)클램핑 목적을 위해서 플라즈마가 기판 표면에 이온들을 공급하는데 사용되지 않고, 플라즈마 공정 챔버의 벽의 일부와 같이 접지된 표면과 단극 ESC 사이의 전기적 회로를 플라즈마가 완성하는 그러한 플라즈마 분위기에서, 평판 패널 디스플레이를 만드는데 사용되는 유리 패널과 같은 절연성 기판들을 유지하기 위한 단극 ESC.
상기 ESC가 기판을 냉각하는 하측 가스 제공하던 그렇지 않던지간에, 기판은 상기 기판 지지체의 수냉부에 의하여 온도 조절될 수 있다. 상기 기판 지지체는 직사각형, 정사각형, 원형 또는 클램프되어야 할 특정 기판을 클램핑하는데 적당한 다른 형상을 가질 수 있다.
본 발명에 따른 외팔보형 처크 조립체의 일 예가 도 3에 도시되어 있다. 이 조립체는 기판 지지체(70), 지지 암(80) 및 장착 플랜지(90)를 구비한다. 상기 장착 플랜지(90)는 공정 챔버의 측벽(12)의 개구(14)에 끼워지는 부분(92)을 구비한다. 도시된 구현예에서, 상기 지지 암(80)의 일단은 기판 지지체(70)의 외면에 결합되고, 상기 지지 암(80)의 타단은 장착 플랜지(90)의 부분(92)에 결합된다. 이러한 배치는 여러 가지 형상을 가질 수 있는데, 예를 들면, 기판 지지체, 지지 암 및 플랜지가 단일 조각의 재료로부터 형성되는 단품 배치 또는 복수개의 별개의 부품들이 상호 부착되어 외팔보형 처크 조립체를 형성할 수 있다. 상기 기판 지지체는, ESC, 고주파 바이어스 전극 또는 전극들, 승강 핀 홀들, 헬륨 배면 냉각 가스 공급기 등과 같은 기능적 구성요소들을 가지는 분리가능한 캡을 구비한다.
도 2에서 보는 바와 같이, 챔버(10)는 기판 전송 슬롯(16)을 구비하는데, 이 슬롯(16)을 통하여 반도체 웨이퍼, 평판 등과 같은 기판이 적절한 전송 메카니즘에 의하여 수평 방향과 같은 방향으로 챔버 내부(30)로 그리고 챔버 내부로부터 전송될 수 있다. 상기 챔버(10)는, 상기 장착 플랜지(90)의 부분(92)을 상기 개구(14) 안으로 위치시키고 그리고/또는 가이드하는 하나 또는 그 아상의 가이드 핀(18)들을 구비할 수 있다. 도 3에 도시된 바와 같이, 상기 장착 플랜지(90)는 상기 가이드 핀(18)들을 수용하는 가이드 핀 구멍(94)들을 구비할 수 있다. 도시된 구현예에서, 챔버(10)의 내부(30)는 실린더 형상의 측벽면(32)과 배출 포트(20)를 둘러싸는 환형의 바닥면(34)을 구비한다. 상기 장착 플랜지(90)의 부분(92)은, 이 장착 플랜지(90)가 챔버(10)의 외면 상에 장착될 때 상기 실린더 형상의 면(32)의 개구(14)의 가장자리를 따라 놓여지는 가장자리(98)를 가지는 곡면(96)을 구비한다. 상기 지지 암(80)은 기판 지지체(70)의 지지면으로부터 수직방향으로 오프셋된 외주를 구비한다. 상기 지지 암(80)은, 상기 기판 지지체의 외주가 상기 실린더 형상의 면(32)의 안쪽으로 위치되도록, 기판 지지체(70)를 챔버(10)의 내부(30)에 지지한다. 또한 상기 부분(92)과 개구(14)를 형성하는 면들은, 예를 들면 2°-10°와 같이 15°이하의 각도로 경사는 것이 바람직하다. 따라서 상기 부분(92)이 개구(14)에 끼워지면, 이 부분(92)과 개구(14)를 한정하는 면의 결합면은 경사 끼워맞춤을 제공한다. 장착 플랜지(90)와 챔버(10) 사이의 진공 밀폐를 유지하기 위하여, O-링 씨일(99; 점선으로 도시됨)이 상기 부분(92)의 주위에 마련될 수 있어서, 개구(14)를 둘러싸는 챔버(10)의 외면 상의 홈(19)의 내부에 끼워진다. 또한 상기 챔버 하우징과 기판 지지체 조립체 사이의 접지 전위차를 최소화하기 위하여, 고주파 차폐 부재가 상기 진공 씨일을 둘러싼다.
도 4는 기판 지지체가 챔버(10)의 내부(30)에 장착된 것을 도시한다. 장착 플랜지(90)는 볼트와 같은 적당한 결합제에 의하여 챔버(10)의 외면에 분리가능하게 부착될 수 있다, 그러나 진공 펌프에 의하여 제공되는 진공이 상기 장착 플랜지를 챔버의 외면에 적절하게 밀폐시키기 때문에, 이러한 결합제를 생략할 수 있다. 수리 도관 통로(91)가 상기 장착 플랜지(90) 마련되어서, 여기를 통과하는 수리 도관들(82-86)의 통로가 된다. 상기 수리 도관들(83,84)은 외부의 냉장실에 연결될 수 있는데, 이에 의하여 온도 조절 액체가, 기판의 공정 동안에 원하는 수준으로 온도를 유지하도록, 상기 기판 지지체를 통과할 수 있다. 상기 수리 도관(84)은 온도 조절 가스를 기판의 배면측으로 공급하는데 사용될 수 있다. 예를 들면 상기 도관(84)은 승강 핀 홀(76)을 통과하여 기판의 하부로 공급되는 가압 헬륨 가스를 운반하는데 사용될 수 있다. 수리 도관(85)은 가압 가스를 기판 지지체의 승강 핀 메카니즘(도시되지 않음)의 공압 엑추에이터에 공급할 수 있다. 수리 도관(86)은 고주파 동력을 고주파 바이어스 전극(74)에 공급하는데 사용될 수 있다. 물론 기판 지지체의 온도 또는 배면측 냉각 가스의 압력을 모니터링하거나, 수리시에 습도를 유지시키는 질소 또는 깨끗한 건공기("CDA")를 공급하거나, 그리고/또는 기판 지지체의 구성요소들에 전기적인 동력을 공급하기 위하여, 다른 수리 도관들이 또한 사용될 수 있다.
도 1 내지 4는 단일의 지지 암(80)이 장착 플랜지(90)와 기판 지지체(70) 사이에서 연장되어 있는 배치를 도시한 것이다. 그러나 대부분의 기판에서는, 하나 이상의 지지 암이 마련되어서, 기판 지지체와 장착 플랜지(90) 사이에서 연장될 수 있다. 그러한 구현예에서는, 위에서 기술한 수리 도관들이 복수개의 암을 따라 분포될 수 있다. 부가적인 지지 암 도는 지지 암들이 단일한 장착 플랜지에 결합될 것이기 때문에, 기판 지지체는 수리 편리성, 융통성 또는 개장성을 위하여 용이하게 분리되는 편리함을 여전히 가지고 있다. 또한 고주파 전류 복귀 회로 대칭성을 제공하기 위하여, 하나 또는 그 이상의 도전성 암이, 기판 지지체(70)에서 발생하는 고주파 전류가 측벽(32)과 기판 지지체(70) 사이에서 연장되는 하나 또는 그 이상의 암에 의하여 측벽(32)에 접지될 수 있도록, 챔버 안에 마련될 수 있다. 기판 조립체가 상기 측벽의 개구를 통하여 삽입될 때, 이러한 부가적인 암 또는 암들은 측벽(32)으로부터 안쪽으로 연장되어서 기판 지지체에 결합될 수 있다. 대안으로서, 기판 지지체 조립체가 상기 개구(14)에 삽입될 때, 기판 지지체(70)는, 측벽(32)과의 결합을 위하여 거기로부터 연장되는 하나 또는 그 이상의 암을 구비할 수 있다. 이러한 암들이 단지 대칭적인 접지 경로를 제공하고 어떠한 수리 도관도 그러하지 않기 때문에, 이들이 또한 기판 지지체의 "플러그-인(plug-in)" 성질에 영향을 미치지 않는다.
도 1에 도시된 챔버(10)는, 고주파 에너지를 절연성 윈도우(50)를 통하여 전달하는 5권선 평면 코일의 형상의 플라즈마 생성 장치를 포함한다. 공정 가스를 챔버의 내부에 공급하기 위해서, 가스 분배 플레이트(52)가 절연성 차폐기(50)에 인접하여 마련된다. 또한 도 1에 도시된 배치는, 상기 가스 분배 플레이트(52)로부터 연장되고 기판 지지체(70)를 둘러싸는 원뿔형상의 라이너(54)를 구비한다. 상기 라이너(54)는, 기판의 공정 동안에 라이너(54)의 온도를 조절하도록 저항 히터, 유체 통로 등을 구비할 수 있는 하나 또는 그 이상의 부재(56)에 의하여 온도 조절된다. 고주파 에너지가 라이너(54) 또는 상기 부재(56) 안으로 연결되는 것을 방지하기 위하여, 상기 안테나(40)의 외주는 라이너(54)의 내부면의 안쪽에 위치하는 것이 바람직하다. 상기 가스 분배 플레이트(52)는, 절연성 윈도우(50)와 가스 분배 플레이트(52) 사이의 공간에 공급되는 공정 가스를 통과시키는 관통홀들을 가진다. 그러나 상기 플레이트(52)는 생략될 수 있고, 공정 가스는 다른 가스 공급 배치에 의하여 공급될 수도 있다. 또한 본 발명에 따른 외팔보형 처킹 배치은 어떠한 진공 공정 챔버 디자인과 함께 사용될 수 있다.
본 발명의 일 측면에 따르면, 플라즈마 생성원이 규격화된 장착 배치에 의하여 챔버에 분리가능하게 부착될 수 있기 때문에, 플라즈마 생성원을 조립하거나 대체하는 것이 가능하다. 예를 들면, 상기 규격화된 장착 배치는 평행판 전극 배치, 유도 결합 플라즈마원, 헬리콘 발생원, 헬리컬 공진기, ECR 발생원, 상류 플라즈마 생성원 등과 같은 여러 가지 타입의 플라즈마 생성원들을 지지할 수 있다. 바람직한 구현예에 따르면, 플라즈마 생성원은 절연성 윈도우의 외부에 있는 다중 권취 나선 코일와 같은 평면 코일을 구비하는데, 여기에서 상기 절연성 위동우의 아래에는 가스 배분 플레이트가 선택적으로 위치한다. 따라서 상기 규격화된 장착 배치에 의하여, 옥사이드, 폴리실리콘, 금속 등의 식각 및 절연성 필름 등의 증착 및 포토레지스트 스트리핑 등과 같은 특정한 공정 영역용으로 동일한 챔버 디자인이 주문제작될 수 있다. 상기 규격화된 장착 배치는, 도 1에 도시된 것과 같은 장착 플랜지를 구비할 수 있다. 그러나 상기 윈도우(50) 또는 플라즈마 생성원 조립체의 플레이트가 챔버(10)의 단부벽 상에 직접 장착될 수 있는데, 그러한 경우에는 상기 장착 배치가 O-링을 구비하고, 홈이 상기 O-링을 수용하며, 밀폐면은 그 사이에서 O-링을 가진 채로 상기 홈을 항한다.
안테나가 플라즈마 생성원으로 사용되는 경우에는, 이 플라즈마 생성원에 대한 규격화된 장착 배치에 의하여 상이한 안테나 디자인들이 챔버(10)에서 플라즈마를 생성용으로 사용될 수 있도록 한다. 또한 공정이 행해질 기판과 상기 안테나 사이의 요구되는 틈새를 이루기 위하여, 플라즈마 생성원은 요각(reentrant) 타입이 될 수 있는데, 이러한 타입에서는 윈도우 및 안테나가 챔버의 내부(30)로 축방향으로 슬라이딩가능하다. 플라즈마 생성원에 대한 규격화된 장착 배치는 또한 상이한 가스 공급 배치들을 허용한다. 예를 들면, 공정 가스는 도 1에 도시된 플레이트(50)와 같은 가스 배분 플레이트를 통하여 공급될 수 있다. 대안으로서, 상기 공정 가스는, 기판과 안테나(40) 사이의 틈새로 안쪽으로 공정 가스를 향하게 하는 복수개의 배출구를 내부에 구비하는 하나 또는 그 이상의 링과 같은 다른 배치에 의하여, 공급될 수 있다.
본 발명의 다른 측면에 따르면, 진공 펌핑 메카니즘이 규격화된 장착 배치에 의하여 챔버에 분리가능하게 부착될 수 있기 때문에, 챔버를 배기하는 진공 펌핑 메카니즘을 조립하거나 대체하는 것이 가능하다. 예를 들면, 규격화된 장착 배치는 1000 부터 3000 리터/초의 펌핑 용량을 가지는 자기 부양된 고용량의 축류 분자 펌프(turbomolecular pump)와 같은 여러 가지 타입의 진공 펌핑 메카니즘을 지지할 수 있다. 바람직한 진공 펌프는, 전방부에 축류 분자 펌프를 가지고 그 후방부에 분자 드래그 펌프(molecular pump)를 가지는 혼합형 축류 분자/드래그 펌프이다. 이러한 혼합형 펌프들은 둘 다 일본회사인 오사카 진공(OSAKA Vacumm)과 세이코 세이키(Seiko Seiki)로부터 입수할 수 있다. 챔버에서 수행되는 공정에 따라, 기계적 펌프, 크라이오 펌프(cryogenic pump), 디퓨저 펌프 등과 같은 다른 타입의 펌프가 규격화된 장착 배치 상에 장착될 수 있다.
도 5-8은 진공 펌프(100)용의 적당한 규격화된 장착 배치의 여러 가지 형상을 도시한다. 챔버(10)의 내부(30)는 적당한 게이트 밸브(110)에 의하여 진공 펌프로부터 격리된다. 상기 게이트 밸브(110)는 적당한 규격화된 장착 배치에 의하여 챔버(10) 상에 장착되고, 상기 진공 펌프(100)는 또 다른 적당한 규격화된 장착 배치에 의하여 게이트 밸브(110) 상에 장착된다. 도 5에서 도시된 바와 같이, 챔버(10)의 상부 단부벽은, 플라즈마 생성원 조립체와 결합되도록 진공 밀폐 접합을 제공하는 2개의 오목면들을 가진다. 예를 들면, 외부 오목면(58)은 홈과 윈도우(50)의 밀폐면에 결합되는 O-링을 구비하고, 내부 오목면(59)은 홈과 가스 배분 플레이트(52) 상의 밀폐면에 결합되는 O-링을 구비한다.
도 5에서 도시된 바와 같이, 기판 지지체(70)는, 지지 하우징(122) 상에 분리가능하게 장착되는 수냉식의 정전기적 처크(120)를 포함한다. 수리 도관들(도시되지 않음; 82,83)을 구비하는 수리 통로(91)는 지지 하우징(122) 내부에 한정되는 개방 공간 안으로 개방된다. 장착 플랜지(90) 및 지지 암(80)은, 영구 결합(예를 들면 용접, 브레이징 등) 또는 기계적 결합제과 같은 것에 의하여 적당한 방식으로 지지 하우징(122)에 밀폐 결합되는는 일체화된 단품을 형성한다. 그리고 이러한 경우에, 고주파 차폐 부재를 둘러싸는 O-링은 지지 암(80)의 대향되는 면들과 지지 하우징(122) 사이의 평행한 홈들에 개재된다.
공정 파라미터들을 모니터하기 위하여, 하나 또는 그 이상의 보조 포트들(130,132,134,136,138,140,142,144)이, Langmuir 탐침, 바이패스 밸브, 마노미터, 플라즈마 진단기, 레이지 측정 장비 등과 같은 장비에 사용되도록 마련될 수 있다. 그러한 보조 포트들은 조사 및 개발용으로 사용되는 챔버에는 유용하지만, 상기 포트들의 일부 또는 전부가 양산 환경에서 사용되는 챔버에서는 생략될 수 있다.
기판과 가스 분배 플레이트 사이의 틈새로 유입될 가스를 상기 가스 분배 플레이트(52)에 공급하기 위해서는, 챔버(10)의 단부벽이, 적당한 가스 공급기에 연결되기에 적합화도록 된 하나 또는 그 이상의 가스 공급 포트들(150,152)을 가질 수 있다. 상기 포트들(150,152)은 윈도우(50)와 가스 분배 플레이트(52) 사이의 갭으로 가스가 공급되도록 한다.
챔버(10)는 지지 프레임(도시되지 않음) 상에 챔버를 지지하는 지지 브라켓들(160,162)을 구비한다. 필요한 경우에는, 이러한 프레임은 챔버(10)를 지지하는 제1 부분과, 진공 펌프(100)를 지지하는 별개의 네스팅(nesting) 부분을 구비할 수 있다. 이러한 배치는 챔버(10)로부터 진공 펌프(100)가 빠지도록 허용하여, 진공 펌프를 수리하거나 상기 펌프를 다른 네스팅 프레임 부분에 있는 다른 펌프로 대체할 수 있도록 한다.
게이트 밸브(110) 및 진공 펌프(100)는 플라즈마 생성원 조립체와 동일한 방식으로 챔버(10)에 부착되고, 공통의 진공 밀폐 접합을 사용하는 기판 지지체 조립체은 적어도 하나의 O-링과, 상기 O-링을 수용하는 홈과, 상기 홈으로 O-링을 가압하는 밀폐면을 가진다. 예를 들면, 게이트 밸브(110)는, 이 게이트 밸브(110)를 챔버(10)에 부착하는(상기 게이트 밸브와 챔버 사이에 적어도 하나의 O-링 및 고주파 차폐 부재가 위치하는 상태로) 볼트의 패턴(예를 들면, 5열의 볼트)을 챔버의 각각의 측면 상에 가질 수 있다. 정렬 목적상, 상기 진공 펌프(100)가 챔버(10) 또는 센터링 링을 사용하는 게이트 밸브(110)에 직접 부착될 수 있는데, 여기에서 상기 센터링 링의 플랜지는 진공 펌프 및 게이트 밸브의 대향되는 면들 또는 챔버의 결합 오목부들에 끼워진다. 만약 챔버에서 사용되어야 할 공정이 저압을 요구하지 않는다면, 진공 포트(20)는 적당한 밀폐 배치로서 밀폐될 수 있고, 챔버의 측벽의 포트(144)와 같은 보조 구멍에 부착되는 기계적 펌프에 의하여 챔버가 원하는 압력으로 유지될 수 있다.
기판의 타입(예를 들면, 평판 디스플레이 기판, 반도체 웨이퍼 등), 기판의 크기(예를 들면 300*600 mm 유리 기판, 4,6,8 또는 12 인치 웨이퍼 등) 그리고 챔버에서 수행될 공정에 따라, 여러 가지 기판 지지체들이 챔버(10)에 장착될 수 있다. 공정에 따라서는, 기계적인 클램프, ESC를 사용하거나 클램핑을 생략하는 것이 바람직할 수 있다. 또한 공정이 기판의 냉각 또는 가열의 다른 정도를 요구할 수 있다. 게다가 기판의 위치가 변화하는 다단계 공정에서는, 플라즈마 생성원에 대한 기판 지지체의 위치(예를 들면 금속 식각에서 11cm의 갭, 옥사이드 식각에서 8cm의 갭)를 조절하기 위하여, 기판 지지체 또는 플라즈마 생성원이 텔레스코핑(telescoping) 메카니즘을 구비할 수 있다. 또한 기판 지지체는 공압식과 같은 핀 승강 배치 또는 케이블 구동 핀 승강 메카니즘을 포함할 수 있는데, 후자에서는 승강 핀이 승강 플레이트 상에 장착되거나 케이블 조립체들에 의하여 개별적으로 구동된다. 대형의 기판(예를 들면 300 mm의 웨이퍼 및 유리 패널)에서는, 핀 승강 메카니즘은 3개의 승강 핀 배치를 사용할 수 있는데, 여기에서는 승강 핀들이 원 상에 위치되고 120°로 이격되어 있고, 상기 승강 핀들은 상호 독립적이고 케이블 조립체에 의하여 별개로 구동된다. 이와 같은 것은 일반 소유된 미국 특허 출원 번호 08/623,880에 개시되는데, 그 개시 내용은 참조번호에 의하여 여기에 통합된다.
몇몇 공정에서는, 기계적인 펌프를 챔버의 측벽의 보조 포트에 연결하는 것이 바람직할 수 있다. 예를 들면, 진공 라인(3/4 또는 1/5 인치 라인과 같은)이, 진공 펌프(100)를 활성화하기 이전에 챔버(10)를 펌핑하는 보조 포트(144)에 연결될 수 있다. 또한, 기계적인 펌프의 유입구(예를 들면 4인치 유입구)를 게이트 밸브(110)에 연결하거나 더 큰 진공 포트(120)에 직접 연결하도록 적당한 진공 라인 연결이 사용될 수 있는 경우에는, 진공 펌프(100)를 대신하여 기계적인 펌프를 대체하는 것이 바람직할 수 있다.
도 9는 옥사이드 층의 등방성 식각에 사용될 수 있는 규격화된 플라즈마 생성원을 도시한다. 상기 플라즈마 생성원(170)은 절연성 실린더(176)의 외면에 대향하여 끼워지는 마주보는 굽어진 한 쌍의 직사각형 전극(172,174)을 구비한다. 공정 가스는 실린더(176) 안으로 유입되고, 전극들(172,174)에 공급된 고주파 에너지에 의하여 플라즈마 상태로 여기된다. 상기 실린더는 플레이트(180) 상에 지지된 펀넬(funnel)에 분리가능하게 부착될 수 있다. 따라서 챔버(10)의 상류에서 발생된 플라즈마가 유동 통로(186)를 통하여 공급될 수 있다. 플레이트(18)는 진공 밀폐 방식으로 챔버(10)의 상부 단부벽에 분리가능하게 부착된다. 예를 들면, O-링(182) 및 둘러싸는 고주파 차폐 부재(184)는 플레이트(180)와 챔버(20)의 대향되는 면들 사이에 위치된다. 이와 같이 다른 구성요소들을 밀폐하기 위해서는, O-링 및 고주파 가스켓들이, 펀넬(178)의 일단과 플레이트(180) 사이 그리고 펀넬(178)의 타단과 실린더(176) 사이에 위치된다. 전극들(172,174) 사이에서 발생하는 강력한 플라즈마 때문에, 실린더(176)의 내면은 플라즈마에 의하여 침식되어지고, 분리가능하게 장착된 이 실린더(176)는 정기적으로 교체될 것이다.
챔버는 게이트 밸브에 의하여 진공 펌핑으로부터 격리되는 것이 바람직하다. 상기 게이트 밸브는 진공 펌프용의 규격화된 장착 배치에 부착될 수 있거나, 또는 이것은 챔버에 직접 부착될 수도 있다. 바람직한 구현예에서는 상기 게이트 밸브가, 챔버로부터 떨어진 개방 위치로부터 플레이트가 챔버에 밀폐 결합되는 폐쇄 위치까지 이동하는 플레이트를 구비한다. 이러한 종류의 적당한 게이트 밸브는 스위스 회사인 VAT로부터 입수할 수 있다.
본 발명의 또 다른 측면에 따르면, 챔버의 라이너를 조립하거나 대체하는 것이 가능하다. 예를 들면, 챔버를 개방한 상태에서, 공정에 적합한 물질로 된 라이너를 접근 포트를 통하여 챔버 안으로 활주시키고, 적당한 결합제로서 또는 커버를 상기 접근 포트에 단순히 부착함으로써 라이너를 제위치에 유지하는 것이 가능하다. 상기 라이너는 챔버의 여러 개의 개구들에 정렬되는 적당한 개구들을 구비할 수 있다. 바람직한 구현예에 따르면, 상기 라이너는 챔버의 막대기들에 지지되고, 플라즈마 생성원에 대한 규격화된 장착 배치에 의하여 제 위치에 유지된다. 상기 라이너 재질은, 금속(예를 들면 알루미늄), 절연성 물질(예를 들면 석영, 산화 알루미늄, 알루미늄 질화물 등), 코팅 물질(예를 들면 산화처리된 알루미늄) 등과 같은 어떠한 진공 및/또는 공정에 적합한 물질을 포함할 수 있다. 금속 라이너의 경우에는, 이것은 전기적으로 유리되거나 또는 접지될 수 있다. 또한 상기 라이너는, 온도 조절 유체가 유동하는 하나 또는 그 이상의 통로와 같은 온도 조절 메카니즘 및 하나 또는 그 이상의 저항 가열 요소와 같은 하나 또는 그 이상의 히터 등을 구비할 수 있다.
챔버 디자인은, 챔버의 요구되는 압력을 유지하면서, 공정 가스의 고 유동성을 허용한다. 그러한 고 유동성은, 탬버를 통과하여 실질적으로 대칭적인 가스 유동을 가능케 하고 플라즈마 생성원이 위치하는 벽을 마주보는 챔버의 벽 상의 공간을 자유롭게 하는 챔버 벽 장착 외팔보형 처크 디자인에 의하여 달성된다. 이와 같이, 기판 지지체의 횡단면적보다 더 큰 횡단면적을 가지는 대형의 진공 포트가 마련될 수 있다.
본 발명의 바람직한 구현예에 따르면, 기판 지지체는, 챔버의 측벽으로부터 연장되는 하나 또는 그 이상의 지지 암들에 의하여 원형의 진공 챔버의 중심 또는 그 근처에서 유지된다. 챔버를 통과하는 비-균일한 가스 유동, 즉 챔버의 단부벽에 위치하는 진공 포트로의 균일한 가스 유동을 혼란시키는 것을 최소화하기 위하여, 상기 지지 암은 기판 지지체의 측벽에 부착되고, 기판 지지체의 지지면보다 더 작은 횡단면적을 가지는 것이 바람직하다. 최적의 유동을 이루기 위해서는, 기판 홀더와 챔버 측벽의 내부면 사이의 유동 면적은 적어도 기판 홀더 및/또는 진공 포트의 횡단면적의 대략 0.3 배인 것이 바람직하고, 적어도 0.5 배가 더욱 바람직하며, 가장 바람직하게는 대략 동일하거나 더 커야 한다. 예를 들면, 본 발명의 일 구현예에 따르면, 상기 유동 면적은 기판 지지체의 횡단면적의 1 내지 2 배일 수 있다. 또한 노출된 기판 표면의 평면에 가장 가까운 지지 암의 외주면은, 예를 들면 적어도 1/2인치, 바람직하게는 상기 지지 암 두께의 적어도 1/2 만큼 하류로 이격되어 있다.
8 인치 반도체 웨이퍼 용 기판 지지체의 경우에는, 상기 진공 포트가, 적당한 크기의 게이트 밸브에 의하여 밀폐되는 지름이 10 인치에서 1 피트인 개구와 같은 대형의 개구를 구비할 수 있다. 지지 암은, 펌핑의 비대칭성을 최소화하도록, 형상이 이루어지고 크기가 정해진다. 예를 들면, 원형의 지지 암은 2 내지 3 인치의 지름을 가질 수 있고, 또한 타원 또는 직사각형의 지지 암과 같은 비-원형의 지지 암은 폭이 2 인치에서 5 인치까지 변동하는 횡단면적을 가질 수 있다.
챔버 측벽의 내면과 기판 지지체의 외주 사이의 환형의 갭은 웨이퍼 및/또는 진공 포트의 횡단면적을 초과하는 횡단면적을 가지는 것이 바람직하다. 예를 들면, 8 인치 웨이퍼의 경우에, 기판 지지체는 총 12 인치의 지름을 가질 수 있고, 챔버는 18 인치의 지름을 가질 수 있다. 그러한 경우에, 챔버의 측벽과 기판 지지체 사이의 폭이 3 인치인 유동 면적은, 대략 110 평방 인치의 횡단면적을 가지는 기판 홀더에 비교하여 볼 때, 약 140 평방 인치의 유동 횡단면적을 가지는 환형의 유동 통로를 제공한다.
12 인치 웨이퍼 경우에, 만약 기판 지지체가 14 인치의 지름을 가지고 챔버가 18 인치의 지름을 가진다면, 상기 환형의 유동 영역의 횡단면적(약 100 평방 인치)은 기판 홀더(약 150 평방 인치)보다 작게 될 것이다. 그러한 챔버 디자인이 어떠한 적용분야에 대해서는 바람직한 가스 유동을 제공하는 반면에, 기판 지지체의 크기를 감소시킴에 의하여 그리고/또는 챔버의 크기를 증가시킴에 의하여(예를 들면, 기판 지지체를 그 지름이 약 12.5 인치 이하가 되도록 작게 만들고 그리고/또는 챔버를 그 지름이 20 인치 이상이 되도록 크게 만듬에 의하여), 저압에서의 향상된 고 유동성이 달성될 수 있다.
기판 지지체와 진공 포트 사이의 유동 면적은 기판 지지체와 챔버의 내부 측벽면 사이의 환형의 가스 유동 면적보다 더 큰 것이 바람직하다. 예를 들면, 만약 챔버의 측벽 및 기판 홀더가 3 인치 폭의 환형 갭만큼 분리되어 있다면, 기판 홀더의 단부과 진공 포트 사이의 거리는, 예를 들면 4 인치 또는 그 이상과 같이, 3 인치를 초과하는 것이 바람직하다. 기판 지지체와 진공 포트 사이의 유동 면적을 증가시키기 위하여, 진공 포트를 향하는 기판 지지체의 단부벽의 외주는 둥글게 되거나 경사질 수 있고 그리고/또는 진공 포트가 위치하는 챔버의 단부벽의 개구가 둥글게 되거나 경사질 수 있다.
챔버의 압력을 100 mTorr 이하, 예를 들면 1 내지 50 mTorr로 유지하는 한편, 8 인치 또는 12 인치 웨이퍼와 같은 기판의 전 노출면을 가로지르는 압력의 변이가 10% 이하, 바람직하게는 5% 이하, 그리고 더욱 바람직하게는 1% 이하가 되는 것이, 전술한 관계를 가지고서 달성될 수 있다. 기판 표면에서 볼 수 있는 펌핑 속도는 통상적으로 진공 펌프의 펌핑 속도(예를 들면 2000 l/sec)의 약 절반(예를 들면 1000 l/sec)가 된다. 또한 기판 표면에서 볼수 있는 압력은, 챔버로 유동하여 유입되는 공정 가스의 양과 해리 가스를 챔버로부터 빨아들이는 진공 펌프의 능력에 의존할 것이다. 예를 들면, 80 sccm의 공정 가스 유동에서 만약 진공 펌프가 1000 l/sec의 펌핑 속도를 가지고 있다면, 가스가 플라즈마 상태로 해리될 때는 가스의 부피는 실질적으로 2배가 되고, 진공 펌프는 챔버에서 약 2 mTorr의 진공만을 제공할 수 있을 것이다. 몇몇 공정들이 200 내지 300 sccm 공정 가스를 사용할 때는, 한번 해리되어 가스 부피가 2배가 되고, 진공 펌프는 약 6 mTorr의 진공만을 제공할 수 있을 것이다.
도 10에서 보는 바와 같이, 기판 지지체(70)가 지지되는 기울어진 측벽을 마련함으로써, 진공 공정 장치(10)이 차지하는 면적이 감소될 수 있다. 예를 들면, 지지 암(80)이, 측벽에 수직인 방향으로 연장되는 대신에, 기판 지지체(70)와 비-수직으로 방향이 설정된 측벽(190) 사이에서 어떤 각도로 연장될 수 있다. 그러한 배치는 챔버의 하단의 크기에 줄어들기 때문에 더 작은 바닥 공간을 차지하고, 기판 지지체를 둘러싸는 챔버의 외주에 완전히 접근하는 것을 허용한다.
본 발명은 바람직한 구현예를 참조로 하여 상세히 설명되었지만, 본 발명의 본질과 범위를 이탈하지 않고 다양한 변형이 가능하고 균등물이 채용될 수 있다는 것은, 당업자에게 당연하다 할 것이다.

Claims (22)

  1. 제1 및 제2 단부벽과 그 사이에서 연장되는 측벽을 구비하고, 상기 측벽을 통과하여 연장되는 측벽 개구를 가지는 챔버를 구비하는 것으로서,
    상기 측벽 개구가 지지 모듈 장착 배치를 가지고,
    상기 지지 모듈 장착 배치는 결합될 기판 지지체 조립체 모듈에 분리가능하게 부착될 수 있도록 된 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  2. 제 1항에 있어서,
    상기 챔버는 상기 제1 단부벽을 통과하여 연장되는 제1 개구를 구비하고,
    상기 제1 개구는, 결합될 플라즈마 생성원 조립체 모듈에 분리가능하게 부착될 수 있는 생성원 모듈 장착 배치를 가지는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  3. 제 2항에 있어서,
    상기 챔버는 상기 제2 단부벽을 통과하여 연장되는 제2 개구를 구비하고,
    상기 제2 개구는, 결합될 진공 펌프 조립체 모듈에 분리가능하게 부착될 수 있는 펌프 모듈 장착 배치를 가지는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  4. 제 3항에 있어서,
    상기 지지 모듈 장착 배치는 기판 지지 조립체 모듈에 결합되고,
    상기 생성원 모듈 장착 배치는 플라즈마 생성원 조립체 모듈에 결합되며,
    상기 펌프 모듈 장착 배치는 진공 펌프 조립체 모듈에 결합되는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  5. 제 3항에 있어서,
    상기 측벽 개구와 상기 제1 및 제2 개구는 진공 씨일과 고주파 차폐 부재에 의하여 밀폐되는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  6. 제 2항에 있어서,
    상기 생성원 모듈 장착 배치는, 실질적으로 평면의 고주파 안테나와 절연성 윈도우를 가지는 플라즈마 생성원 조립체 모듈에 결합되고,
    상기 제1 개구는 제1 및 제2 오목면에 의하여 한정되며,
    상기 제1 오목면은 상기 제2 오목면의 안쪽에 위치하고,
    상기 플라즈마 생성원 조립체 모듈과 상기 각 오목면들 사이에서 O-링 씨일이 가압되는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  7. 제 1항에 있어서,
    상기 지지 모듈 장착 배치는, 지지 암 및 기판 지지체를 가지는 외팔보형 기판 지지체 조립체 모듈에 결합되고,
    상기 지지암 및 기판 지지체는, 상기 챔버의 내부에 상기 기판 지지체를 조립하기 위하여 상기 측벽 개구를 관통할 수 있는 크기로 된 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  8. 제 1항에 있어서,
    상기 챔버의 측벽은 실린더 형상의 측벽을 구비하고,
    상기 펌프 모듈 장착 배치는 게이트 밸브 또는 진공 펌프에 결합되며,
    상기 제2 개구는 원형으로서, 상기 챔버의 실린더 형상의 측벽에 의하여 한정되는 최대 횡단면적의 적어도 1/3 배인 유동 면적을 한정하는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  9. 제 6항에 있어서,
    상기 공정 챔버는, 고밀도의 플라즈마가 상기 기판 지지체에 인접한 영역에 제공되는 고밀도 플라즈마 공정 챔버이고,
    상기 기판 지지체는, 이 기판 지지체의 지지면 상에 반도체 기판을 클램프하는 처킹(chucking) 장치를 구비하는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  10. 제 1항에 있어서,
    상기 제2 개구는 상기 챔버의 제2 단부벽의 중앙 부분에 진공 포트를 구비하고,
    상기 제2 단부벽은 개방 영역에 의하여 상기 기판 지지체 조립체 모듈의 기판 지지체로부터 분리되며,
    상기 진공 포트는, 상기 챔버의 내부로부터 가스를 제거하고 상기 챔버를 100 mTorr보다 낮은 압력으로 유지시키는 진공 펌프에 연결된 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  11. 제 1항에 있어서,
    상기 기판 지지체 조립체 모듈은 장착 플랜지 및 지지 암을 구비하고,
    상기 장착 플랜지는 상기 챔버의 외면에 부착되며,
    상기 지지 암의 일단이 상기 장착 플랜지에 결합되고, 그 타단이 상기 기판 지지체에 결합되는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  12. 제 11항에 있어서,
    상기 장착 플랜지는, 상기 챔버의 측벽의 측벽 개구에 끼워지는 부분을 가지는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  13. 제 12항에 있어서,
    상기 측벽 개구는, 상기 챔버의 내부를 향하는 방향으로 갈수록 그 크기가 감소되도록, 경사져 있고,
    상기 부분은, 상기 측벽 개구의 결합면들 및 상기 부분이 경사 끼워맞춤을 제공하도록, 경사져 있는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  14. 제 12항에 있어서,
    상기 측벽은 기판 지지체를 둘러싸는 실린더 형상의 표면을 가지고,
    상기 챔버의 측벽의 측벽 개구는 상기 실린더 형상의 표면을 통과하여 연장되며,
    상기 부분은 상기 챔버의 내부를 향하는 곡면을 가지고,
    상기 곡면은, 상기 실린더 형상의 표면의 측벽 개구의 가장자리들을 따라 놓여있는 가장자리들을 구비하는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  15. 제 11항에 있어서,
    상기 지지 암은, 기판 지지체의 외주와 상기 챔버의 측벽 사이의 환형의 갭을 통과하는 공정 가스의 유동이 실질적으로 균일하도록, 그 크기가 정해지는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  16. 제 1항에 있어서,
    상기 챔버는 플라즈마 생성원 조립체 모듈, 진공 펌프 조립체 모듈 및 기판 지지체 조립체 모듈을 구비하고,
    상기 플라즈마 생성원 조립체 모듈은 다른 플라즈마 생성원 조립체 모듈과 호환될 수 있으며,
    상기 진공 펌프 조립체 모듈은 다른 진공 펌프 조립체 모듈과 호환될 수 있으며,
    상기 기판 지지체 조립체 모듈은 다른 기판 지지체 조립체 모듈과 호환될 수 있는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  17. 제 1항에 있어서,
    상기 제2 개구는 상기 챔버를 배기시키기 위한 진공 포트를 구비하고,
    상기 진공 포트는 개방 공간에 의하여 기판 지지체로부터 분리되며,
    상기 기판 지지체는 환형의 갭에 의하여 상기 챔버의 측벽으로부터 분리되고,
    상기 환형의 갭은 상기 기판 지지체의 횡단면적의 적어도 0.3 배의 유동 횡단면적을 가지고,
    상기 개방 공간은 상기 환형의 갭의 유동 면적보다 더 큰 유동 횡단면적을 가지는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  18. 제 1항에 있어서,
    상기 챔버는, 이 챔버 안에서 분리가능하게 지지되는 라이너(liner)를 구비하는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  19. 제 4항에 있어서,
    상기 기판 지지체는 정전기적 클램프를 구비하는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  20. 제 4항에 있어서,
    상기 기판 지지체 조립체 모듈은 지지 암 및 기판 지지체를 구비하고,
    상기 기판 지지체는 여기를 통과하여 연장되는 복수개의 수리 도관들을 구비하며,
    상기 수리 도관들은, 가스 냉각제, 액체 냉각제, 고주파 에너지, 가압 공기, 상기 기판 지지체로 들어가거나 여기로부터 나오는 전기적 모니터링 신호 또는 전기적 엑추에이팅 신호 중에서 적어도 하나를 공급하는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  21. 제 17항에 있어서,
    상기 환형의 갭은 상기 기판 지지체의 횡단면적의 적어도 0.5 배의 유동 횡단면적을 가지는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
  22. 제 17항에 있어서,
    상기 환형의 갭은 상기 기판 지지체의 횡단면적보다 더 큰 유동 횡단면적을 가지는 것을 특징으로 하는 진공 공정 챔버의 만능 하우징.
KR10-1998-0709959A 1996-06-05 1997-06-02 플라즈마 생성원, 진공 펌핑 장치 및/또는 외팔보형기판지지체와같은장비모듈을구비하는만능진공챔버 KR100429581B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/658,261 US5820723A (en) 1996-06-05 1996-06-05 Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US8/658,261 1996-06-05
US08/658,261 1996-06-05

Publications (2)

Publication Number Publication Date
KR20000016385A true KR20000016385A (ko) 2000-03-25
KR100429581B1 KR100429581B1 (ko) 2004-08-12

Family

ID=24640548

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0709959A KR100429581B1 (ko) 1996-06-05 1997-06-02 플라즈마 생성원, 진공 펌핑 장치 및/또는 외팔보형기판지지체와같은장비모듈을구비하는만능진공챔버

Country Status (7)

Country Link
US (1) US5820723A (ko)
EP (1) EP0902960B1 (ko)
JP (1) JP4023630B2 (ko)
KR (1) KR100429581B1 (ko)
CN (1) CN1121057C (ko)
AU (1) AU3216597A (ko)
WO (1) WO1997047022A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100907848B1 (ko) * 2001-06-28 2009-07-14 램 리써치 코포레이션 고온 정전기 척
KR20230018594A (ko) * 2021-07-30 2023-02-07 주식회사 에이치앤이루자 기판 처리 장치의 커버 유닛

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
KR100296692B1 (ko) * 1996-09-10 2001-10-24 사토 도리 플라즈마cvd장치
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6182851B1 (en) 1998-09-10 2001-02-06 Applied Materials Inc. Vacuum processing chambers and method for producing
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
DE59913736D1 (de) * 1999-05-16 2006-09-14 Inpro Innovations Gmbh Vorrichtung zur Plasmapolymerisation von Hohlkörperchargen in Mehrstückbearbeitung
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6395095B1 (en) 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
JP4660926B2 (ja) * 2001-01-09 2011-03-30 東京エレクトロン株式会社 枚葉式の処理装置
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US6853953B2 (en) * 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
TWI294155B (en) 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
JP2004296835A (ja) * 2003-03-27 2004-10-21 Applied Materials Inc ダマシン構造を形成する方法
WO2004095530A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US7008877B2 (en) * 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
US7013956B2 (en) * 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
JP4447279B2 (ja) 2003-10-15 2010-04-07 キヤノンアネルバ株式会社 成膜装置
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7500822B2 (en) * 2004-04-09 2009-03-10 Edwards Vacuum, Inc. Combined vacuum pump load-lock assembly
US8206075B2 (en) * 2004-06-02 2012-06-26 Applied Materials, Inc. Methods and apparatus for sealing a chamber
US8648977B2 (en) 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7392825B2 (en) * 2005-07-15 2008-07-01 Edwards Vaccum, Inc. Arrangement for tool equipment
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP4973267B2 (ja) * 2007-03-23 2012-07-11 東京エレクトロン株式会社 基板搬送装置、基板搬送モジュール、基板搬送方法及び記憶媒体
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US20090298300A1 (en) * 2008-05-09 2009-12-03 Applied Materials, Inc. Apparatus and Methods for Hyperbaric Rapid Thermal Processing
US20090325340A1 (en) * 2008-06-30 2009-12-31 Mohd Aslami Plasma vapor deposition system and method for making multi-junction silicon thin film solar cell modules and panels
CN101351076B (zh) 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
KR101031315B1 (ko) * 2008-09-17 2011-04-29 에이피시스템 주식회사 기판 처리 시스템
KR20120116923A (ko) * 2009-11-30 2012-10-23 램 리써치 코포레이션 각진 측벽을 가진 정전 척
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102738033B (zh) * 2011-04-15 2014-11-26 无锡华瑛微电子技术有限公司 包含改进立柱结构的半导体处理装置
CN102738032B (zh) * 2011-04-15 2014-12-31 无锡华瑛微电子技术有限公司 可校正工作面平整性的半导体处理装置
CN102737955B (zh) * 2011-04-15 2015-04-15 无锡华瑛微电子技术有限公司 一种半导体处理装置
CN102738031B (zh) * 2011-04-15 2015-02-04 无锡华瑛微电子技术有限公司 包含可抽拉腔室的半导体处理装置
CN102738034B (zh) * 2011-04-15 2014-12-31 无锡华瑛微电子技术有限公司 包含处理流体泄漏回收结构的半导体处理装置
US8951350B2 (en) * 2011-05-03 2015-02-10 United Technologies Corporation Coating methods and apparatus
CN102903605B (zh) * 2011-07-29 2015-03-18 无锡华瑛微电子技术有限公司 半导体处理装置及控制方法
CN102903604B (zh) * 2011-07-29 2015-03-18 无锡华瑛微电子技术有限公司 掀开式半导体处理装置
WO2013016941A1 (zh) 2011-07-29 2013-02-07 无锡华瑛微电子技术有限公司 可调式半导体处理装置及其控制方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
JP6047159B2 (ja) * 2011-08-02 2016-12-21 東京エレクトロン株式会社 電場印加装置を用いて組織を構築するシステム及び方法
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN102581279A (zh) * 2012-02-21 2012-07-18 江苏豪然喷射成形合金有限公司 喷射成形设备的沉积箱
DE102012103938A1 (de) * 2012-05-04 2013-11-07 Reinhausen Plasma Gmbh Plasmamodul für eine Plasmaerzeugungsvorrichtung und Plasmaerzeugungsvorrichtung
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140250658A1 (en) * 2013-03-05 2014-09-11 Applied Materials, Inc. Vacuum chambers and components for semiconductor substrate processing and methods of fabrication
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014182333A1 (en) * 2013-05-09 2014-11-13 Fomani Arash Akhavan Vacuum pumps for producing adsorbate-free surfaces
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
KR20180112794A (ko) * 2016-01-22 2018-10-12 어플라이드 머티어리얼스, 인코포레이티드 전도성 층들이 매립된 세라믹 샤워헤드
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180005851A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Chamber filler kit for dielectric etch chamber
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10413913B2 (en) 2017-02-15 2019-09-17 Tokyo Electron Limited Methods and systems for dielectrophoresis (DEP) separation
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102401446B1 (ko) * 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210012494A (ko) * 2019-07-25 2021-02-03 삼성전자주식회사 리프트 핀 정렬 방법 및 정렬 장치 그리고 기판 처리 장치
US11339466B2 (en) * 2020-03-20 2022-05-24 Applied Materials, Inc. Heated shield for physical vapor deposition chamber

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1118775B (it) * 1979-06-12 1986-03-03 Agusta Aeronaut Costr Microscopio elettronico a scansione a camera scomponibile particolarmente per l osservazione di pezzi di dimensioni relativamente elevate
JPS6029295B2 (ja) * 1979-08-16 1985-07-10 舜平 山崎 非単結晶被膜形成法
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
GB2147459A (en) * 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
GB8623453D0 (en) * 1986-09-30 1986-11-05 Tecvac Ltd Ion implantation
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
NL8902568A (nl) * 1989-10-17 1991-05-16 Philips Nv Vacuuem systeem voorzien van een evacueerbaar huis, een objecthouder en een losneembaar daarmee gekoppelde objectdrager.
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP2619565B2 (ja) * 1990-11-05 1997-06-11 株式会社日立製作所 電子ビーム描画装置
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100907848B1 (ko) * 2001-06-28 2009-07-14 램 리써치 코포레이션 고온 정전기 척
KR20230018594A (ko) * 2021-07-30 2023-02-07 주식회사 에이치앤이루자 기판 처리 장치의 커버 유닛

Also Published As

Publication number Publication date
EP0902960B1 (en) 2010-04-21
CN1225747A (zh) 1999-08-11
CN1121057C (zh) 2003-09-10
EP0902960A1 (en) 1999-03-24
JP2000513501A (ja) 2000-10-10
WO1997047022A1 (en) 1997-12-11
JP4023630B2 (ja) 2007-12-19
AU3216597A (en) 1998-01-05
US5820723A (en) 1998-10-13
KR100429581B1 (ko) 2004-08-12

Similar Documents

Publication Publication Date Title
KR100429581B1 (ko) 플라즈마 생성원, 진공 펌핑 장치 및/또는 외팔보형기판지지체와같은장비모듈을구비하는만능진공챔버
US5948704A (en) High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
CN107481962B (zh) 具有可拆卸高电阻率气体分配板的喷淋头
KR100270207B1 (ko) 플라즈마 처리장치
TWI447833B (zh) 包含橫向伸縮囊及非接觸式粒子密封部的可調間隙電容耦合式射頻電漿反應器
KR100349064B1 (ko) 플라즈마처리장치
US6767429B2 (en) Vacuum processing apparatus
KR100624273B1 (ko) 플라즈마 처리 장치
TWI751637B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
KR0151769B1 (ko) 플라즈마 에칭장치
US5221403A (en) Support table for plate-like body and processing apparatus using the table
EP0566220B1 (en) Magnetic field enhanced plasma etch reactor
WO2009158192A2 (en) Rf power delivery system in a semiconductor apparatus
SG195469A1 (en) Substrate support providing gap height and planarization adjustment in plasma processing chamber
WO2017221829A1 (ja) プラズマ処理装置
WO2011059891A2 (en) Chamber with uniform flow and plasma distribution
KR20210126131A (ko) 플라즈마 프로세싱 챔버에서의 고 바이어스 라디오 주파수(rf) 전력 인가를 위한 정전 척
JP2019145721A (ja) 真空処理装置
KR20010007361A (ko) 개선된 기판용 플라즈마 처리 장치 및 방법
KR100480342B1 (ko) 플라즈마발생소스,진공펌프장치및/또는캔티레버화된기판지지부와같은장비모듈을구비하는고유동진공챔버
KR100683255B1 (ko) 플라즈마 처리 장치 및 배기 장치
US11705346B2 (en) Substrate processing apparatus
CN114203506B (zh) 一种等离子体处理装置及其方法
US20240071729A1 (en) Gas cooled high power connection rod
WO2023034209A1 (en) Electrode-dielectric nozzle for plasma processing

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130408

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140407

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160408

Year of fee payment: 13

EXPY Expiration of term