KR100270207B1 - 플라즈마 처리장치 - Google Patents

플라즈마 처리장치 Download PDF

Info

Publication number
KR100270207B1
KR100270207B1 KR1019940025301A KR19940025301A KR100270207B1 KR 100270207 B1 KR100270207 B1 KR 100270207B1 KR 1019940025301 A KR1019940025301 A KR 1019940025301A KR 19940025301 A KR19940025301 A KR 19940025301A KR 100270207 B1 KR100270207 B1 KR 100270207B1
Authority
KR
South Korea
Prior art keywords
chamber
high frequency
gas
plasma
frequency antenna
Prior art date
Application number
KR1019940025301A
Other languages
English (en)
Other versions
KR950012606A (ko
Inventor
노부오 이시이
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP27314293A external-priority patent/JP3050732B2/ja
Priority claimed from JP27314193A external-priority patent/JP3165941B2/ja
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR950012606A publication Critical patent/KR950012606A/ko
Application granted granted Critical
Publication of KR100270207B1 publication Critical patent/KR100270207B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 고주파 유도방식의 플라즈마 처리장치에 관한 것으로, 도전성 부재에 적어도 일부가 형성된 기밀부재의 챔버와, 이 챔버내에 설치된 피처리체를 지지하는 지지수단과, 상기 챔버내에 처리가스를 도입하는 수단과, 상기 챔버내에 도전성 부재를 접지하는 수단과, 상기 챔버 중에 상기 지지부재에 지지된 피처리체와 소정간격을 가지고 대향하여 설치된 평면형상의 코일로 되는 고주파 안테나와, 이 고주파 안테나에 고주파전력을 인가하고, 이 안테나와 피처리체와의 사이에 플라즈마를 발생시키고, 이 플라즈마에 의하여 피처리체를 처리시키는 수단을 구비함으로써, 코일로 되는 고주파 안테나에 고주파 전력을 인가하여 얻어진 플라즈마에 의하여 피처리체를 처리하는 장치이면서 장치전체의 소형화가 가능하다.

Description

플라즈마 처리장치
제1도는 본 발명의 제1실시예의 플라즈마 처리장치의 전체구성을 나타내는 단면도.
제2도는 제1도에 나타낸 플라즈마 처리장치의 전체구성의 개략을 나타내는 개략 분해사시도.
제3도는 플라즈마 처리장치에 사용되어 있는 고주파 안테나를 나타내는 저면도.
제4도는 제1실시예의 변형예의 일부를 나타내는 단면도.
제5도는 제1실시예의 또 다른 변형예의 일부를 나타내는 단면도.
제6도는 제1실시예의 또 다른 변형예의 일부를 나타내는 단면도.
제7도는 본 발명의 제2실시예의 전체구성을 나타내는 단면도.
제8도는 제7도에 나타내는 실시예 전체구성의 개략을 나타내는 개략 분해 사시도.
제9도는 제2실시예의 변형예를 나타내는 단면도.
제10도는 제2실시예의 또 다른 변형예의 일부를 나타내는 단면도.
제11도는 제2실시예의 처리가스를 공급하는 수단의 1예를 나타내는 사시도.
제12도는 제11도에 나타낸 가스 공급용 링체의 저면도.
제13도는 제2실시예의 또 다른 변형예를 나타내는 단면도이다.
* 도면의 주요부분에 대한 부호의 설명
2 : 챔버 3,31 : 재치대
4,14 : 정전척 6 : 안테나
8 : 냉각 플레이트 9 : 칸막이판
21 : 포커스링 22 : 전원부
24 : 배기관 25 : 압력조정기
28 : 압력검출부 29 : 콘트롤러
32 : 지지부 33 : 홀드
34,95 : 절연체 35 : 냉매탱크
36a : 도입관 36b : 배기관
41 : 도전막 42 : 절연막
43 : 직류전원 44 : 스위칭
51 : 구멍부 52 : 통기실
53 : 공급로 54 : 압력조정기
55 : 압력검출부 62 : 매칭회로
71 : 구멍 72a : 원통부
72b : 돌기 75 : 가스공급관
77 : 원통체 80 : 부재
81 : 본체 82 : 냉매관
85a : 온도조절수단 91 : 냉매도입관
96 : 링체 98 : 지지관
100,104 : 가스 공급관 102 : 가스공급실
103 : 가스혼합실 W : 웨이퍼
본 발명은 고주파 유도방식의 플라즈마 처리장치에 관한 것이다.
반도체 웨이퍼의 처리공정에 있어서, 예를 들면 캐패시터나 소자의 분리 또는 콘택트홀의 형성 등을 위하여 드라이 에칭이 행해지고 있다. 이 드라이 에칭을 하는 종래장치의 대표적인 것으로서 평행 평판형 플라즈마 장치가 알려져 있다.
이 종래의 장치는 외부로부터 처리가스가 도입되는 기밀한 챔버내에 하부전극을 겸용하는 재치대가 설치되고, 이 재치대의 위쪽에 이것과 대향하여 가스 공급부를 겸용하는 상부전극이 설치되어 있다. 이와 같은 플라즈마 처리장치에 있어서는 먼저 재치대상에 웨이퍼를 재치하고, 가스 공급부로부터 처리가스를 공급함과 동시에 상기 양전극 사이에 고주파 전원에 의하여 고주파 전력을 인가하여 플라즈마를 발생시키고, 이 플라즈마 중의 반응성 이온에 의하여 웨이퍼(W)의 에칭이 행해진다.
그런데 디바이스의 패턴의 선폭이 점점 미세화 할 경향이 있다. 상세한 장치에 있어서 플라즈마가 발생하고 있을 때의 챔버내의 압력이 100m Torr∼1Torr이고, 이와 같이 높은 압력에서는 이온의 평균자유 공정이 적으므로 미세 가공이 곤란하다. 또 웨이퍼가 대구경화하여 지고 있으나, 이온의 평균자유 행정이 적으면, 넓은면에 걸쳐 플라즈마 분포의 높은 평균을 확보할 수 없기 때문에 대구경의 웨이퍼에 대하여 균일한 처리가 곤란하다고 하는 문제점도 있다.
그래서 최근에 있어서 구부 특허공개 명세서 제 379828호나 특개평 3-79025호 공보에 기재되어 있는 바와 같이 재치대에 대향하는 챔버(1)의 상벽의 부분을 석영유리 등의 절연재에 의하여 구성함과 동시에 이 절연재의 바깥쪽의 평면형상의 코일을 고정하고, 이 코일에 고주파 전류를 흘려서 챔버내에 전자장을 형성하고, 이 전자장 내에 흐르는 전자를 처리가스의 중성입자에 충돌시켜서 플라즈마를 생성하는 고주파 유도방식이 검토되어지고 있다.
이 방식에 의하면, 코일의 형상에 따라서 대략 동심형상의 전계를 유도하고, 플라즈마의 감금효과가 있으므로, 종래의 평행 평판형 플라즈마 처리장치의 경우에 비하여 상당히 낮은 압력에서 플라즈마를 발생시킬 수가 있고, 따라서 발생한 플라즈마 중의 이온의 평균자유 공정이 크게 되고, 이 때문에 이 플라즈마에 의한 에칭처리는 미세 가공에 적용하고 있다. 그리고 플라즈마는 고밀도 영역으로부터 저밀도 영역으로 확산하지만, 이온의 평균자유공정이 큰 것이기 때문에 플라즈마 밀도분포는 원활하고, 웨이퍼 평면에 평행한면에 있어서의 플라즈마의 균일성이 높고, 대구경의 웨이퍼에 대하여 플라즈마 처리의 면내 균일성이 향상된다.
이와 같이 고주파 유도방식은 패턴 선폭의 미세화, 웨이퍼의 대구경화에 적용한 것으로서 주목되는 것이지만, 실용화하기 위하여는 여러 가지 문제점이 있다. 예를 들면 그 하나로서 고주파 안테나가 챔버외에 설치되어져 있기 때문에 안테나에 커다란 전력을 인가하면, 주위에서 전파장애를 일으키기 때문에 고주파 안테나를 시일드체로 덮지 않으면 안되고, 이 때문에 장치가 대형화, 복잡화 한다고 하는 문제가 있다. 또 챔버의 상벽을 석영으로 구성하고, 이 위에 고주파 안테나를 재치하면, 석영의 열전도도가 적으므로 전력 인가시에 안테나에 접하여 있는 부분이 국부적으로 가열되고, 이 때문에 석영에 커다란 열응력이 발생하여 금이 발생하고, 챔버의 파열사고에 이어질 우려도 있다.
본 발명의 목적은 코일로 되는 고주파 안테나에 고주파 전력을 인가하여 얻어진 플라즈마에 의하여 피처리체를 처리하는 장치이면서 장치 전체의 소형화가 가능한 플라즈마 처리장치를 제공하는 것이다.
이하, 본 발명의 실시예를 첨부된 도면에 의하여 상세히 설명한다.
제1도 및 제2도는 본 발명의 실시예에 관한 플라즈마 처리장치에 예를 들면 에칭장치의 전체구성을 나타내는 단면도 및 일부를 파단한 개략분해 사시도이다. 도면중 부호 2는 알루미늄과 같은 도전재료에서 일부 또는 전체가 형성되고 또 접지된 원통형상 또는 사각형상의 기밀구조의 챔버를 나타낸다. 이 챔버에는 도시하지 아니한 게이트 밸브를 통하여 피처리체인 반도체 웨이퍼의 반입 및 반송이 행해진다. 이 챔버(2)내의 중앙 바닥부에는 예를 들면 알루미늄과 같은 도전재료로 되는 재치대(3)가 설치되어 있다.
상기 재치대(3)는 위쪽부분인 재치부(31)와, 이 재치부(31)를 지지하는 아래쪽 부분인 지지부(32)가 홀드(33)에 의하여 분리가능하게 경합하여 구성되어 있다.
지지부(32)와 챔버(2)의 바닥벽과의 사이에는 절연체(34)가 장착되고, 양자가 전기적으로 절연되어 있다. 상기 재치부(31)의 상면에는 정전척(4)이 그 상면을 가장자리부를 제거되고 덮도록 설치되어 있다. 이 정전척 시이트(4)는 예를 들면 동박으로 되는 정전척 시이트용의 전극인 도전막(41)을 예를 들면 폴리이미드 필름으로 되는 절연막(42)에서 양쪽으로부터 피복하여 구성되어 있다.
도전막(41)은 챔버(2)의 외부 직류전원(43)에 스위칭(44)를 통하여 전기적으로 접속되어 있다.
상기 재치부(31)에는 상단이 그 재치대(31)의 상면에 개구하는 복수의 백사이드가스(열전도용의 가스)를 위한 구멍부(51)가 형성되어 있다. 이들 구멍부(51)의 하단은 재치부 내에 형성된 통기실(52)을 통하여 백사이드 가스용의 가스공급로(53)에 연이어 통해 있다. 상기 정전척 시이트(4)는 각 구멍부(51)에 대응한 위치에 수직으로 관통한 구멍(도시하지 않음)이 돌출 설치되고, 구멍부(51)로부터의 백사이드 가스가 정전척 시이트(4)의 구멍을 통하여 시이트상에 공급되고, 이 시이트상에 흡착지지된 웨이퍼(W) 배면으로 불어내도록 되어 있다. 상기 가스 공급로(53)는 버터플라이 밸브 등의 압력조정기(54)를 통하여 도시하지 아니한 예를 들면 He가스 등의 가스 공급원에 접속되어 있다.
상기 통기실(53)에는 백사이드 가스의 압력을 검출하는 압력검출부(55)가 설치되고, 이들의 압력검출값에 기인하여 본 발명의 장치의 제어계에 포함되는 콘트롤러(56)는 압력검출부(55)의 압력검출 값에 기인하여 상기 구멍부(51)로부터 웨이퍼(W)의 배면에 향하여 불어내는 백사이드 가스의 압력이 소정 값 예를 들면 10Torr로 되도록 압력조정기(54) 예를 들면 버터플라이어 밸브의 닫는 각도를 조정하는 기능을 가지고 있다.
상기 재치부(31)의 가장자리부상에는 웨이퍼(2)를 둘러쌓도록 환형상의 포커스링(21)이 설치되어 있다. 이 포커스링(21)은 반응성 이온을 끌어 당기는 역할을 가지고 있다.
상기 지지부(32) 내부에는 재치대(3)를 통하여 웨이퍼(W)를 냉각하기 위하여 냉각매체를 순환시키는 환형상의 냉매탱크(35)가 형성되고, 이것에는 챔버외에 연이어 나온 도입관(36A)과 배출관(36B)이 설치되어 있고, 도입관(36A)를 통하여 냉매탱크(35)내에 공급된 냉각매체 예를 들면 액체질소는 배기관(36B)를 통하여 장치외부로 배출된다.
상기 챔버(2)내에 상벽의 내면부근에는 재치대(3)에 대향하도록 평면형상의 코일 예를 들면 와권코일 또는 복수의 동심적인 링으로 되는 고주파 안테나(6)가 절연재료 예를 들면 불소수지로 되는 고정부재(23)(제2도 참조)에 의하여 챔버(2)에 고정하여 설치되어 있다. 이 고주파 안테나(6)는 도전성 재료 예를 들면 알루미늄을 재질로 하여, 외부 끝단이 개구하고, 내부끝단이 폐색한 관형상체에 의하여 구성되어 있고, 이 관형상체내에 이것에 따라 뻗은 처리가스 안내공간 또는 안내로가 규정되어 있다. 이 관형상체의 외주면 및 내주면에는 처리가스에 의한 부식을 방지하기 위하여 내식성 재료 예를 들면 산화 알루미늄으로 형성된 보호층(후술하는 제2실시예에서 부호(20)으로 나타냄)으로 피복되어 있다.
그리고 고주파 안테나(6)의 개구 바깥끝단에는 고주파 안테나(6) 결국 관형상체의 처리가 안내공간에 처리가스를 공급하기 위한 가스 공급관(7)의 한쪽 끝단이 접속되어 있고, 이 가스 공급관(7)의 다른 끝단은 챔버와 절연을 유지하여 외부로 나온 도시하지 아니한 처리가스, 에칭 가공의 경우에는 예를 들면 CHF3나 CF4등의 처리가스의 공급원이 접속되어 있다. 상기 고주파 안테나(6)의 하면에는 제3도에 나타낸 바와 같이 관형상체의 내부공간과 외부(챔버 2내의 공간)를 통하는 처리가스의 유출구 예를 들면 가스 불어내기구멍(71)이 코일에 따라서 형성되어 있다. 이들 불어내기구멍(71)의 배치와, 수와, 크기로는 이들로부터 챔버내에 불어내어진 처리가스가 웨이퍼(W) 위에서 균일한 농도분포로 되도록 적정하게 설정되어 있다.
상기 고주파 안테나(6)의 양끝단자(안쪽단자 및 바깥쪽단자) 사이에는 플라즈마 생성용의 고주파 전원부(61)로부터 매칭회로(62)를 통하여 예를 들면 13.56MHz, 1kW의 고주파 전압이 인가된다. 이들에 의하여 안테나(6)에 고주파 전류가 흐르고, 후술하도록 안테나(6) 바로 아래 공간에서 플라즈마가 생성된다.
상기 고주파 안테나(6)의 상면쪽에는 이 고주파 안테나(6)를 냉각하기 위한 냉각수단 예를 들면 냉각 플레이트(8)가 설치되어 있다. 이 냉각 플레이트(8)는 예를 들면 냉매관(8a)으로부터 내부에 냉매 예를 들면 냉각수가 흐르도록 구성되어 있다. 이와 같은 고주파 안테나(6)을 냉각하면, 안테나의 재질과 그 외주면 및/또는 내주면의 내부식성 재료와의 열팽창율의 차에 기인하는 내부식성 재료의 박리를 억제할 수 있는 이점이 있다.
또 상기 재치대(3)와 어스와의 사이에는 그 재치대(3)에 고주파 안테나(6)에 인가되는 고주파 전압의 고주파보다 낮은 주파수 예를 들면 400KHz의 바이어스 전압을 부여하기 위하여 고주파 전원부(22)가 접속되어 있다. 그리고 챔버(2)는 어스에 접속되어 있고, 제로 전위로 유지되어 있다. 이 때문에 재치대(3)와 챔버(2)와의 사이에 전계가 형성되고, 이 결과 챔버(2)내의 플라즈마 중의 반응성 이온의 웨이퍼(W)에 대한 수직성이 증가하는 것이 된다.
상기 챔버(2)의 바깥면에는 복수의 배기관(24)의 한쪽 끝단이 챔버(2)의 주위방향에 등간격 위치로 접속되어 있다. 도시의 예에서는 2개의 배기관(24)의 한쪽이 챔버(2)의 축에 대칭으로 접속되어 있다. 그리고, 이들 배기관(24)의 다른 끝단은 제2도에 나타낸 바와 같이 버터플라이 밸브 등의 압력조정기(25) 및 진공펌프(26)가 장착된 공통의 배기관(27)에 접속되어 있다. 또 이 실시예에서는 배기계는, 진공흡입 초기에는 천천히 배기하여 파티클을 감아 올리지 않도록, 또 어느 정도 진공흡입된 후에는 급속하게 배기하도록 챔버(2)내에 설치된 압력검출부(28)에 의하여 압력검출 값에 따라서 배기 콘트롤러(29)가 압력 조정 값(25)을 조정하도록 구성되어 있다.
다음에 상기 실시예의 작용에 대하여 설명한다. 먼저 도시하지 아니한 반송아암에 의하여 피처리본체 예를 들면 웨이퍼(W)를 챔버(2)내에 반입하여 정전척(14)상에 재치한다. 그리고 진공펌프(26)에 의하여 배기관(24)을 통하여 소정의 진공분위기로 진공배기함과 동시에 가스 공급관(7)으로부터 예를 들면 CF4가스 등의 에칭가스를 고주파 안테나(6)의 내부 공간을 통하여 불어내기 구멍(71)으로부터 챔버(2)내에 공급하면서 배기관(24)으로부터 진공배기하여 챔버(2)내를 예를 들면 수mTorr∼수10mTorr의 진공도로 유지함과 동시에 고주파 안테나(6)에 고주파 전원부(61)로부터 고주파 전압을 인가한다. 이 고주파 전압의 인가에 의하여 고주파 안테나(6)에 고주파 전류가 흐르면, 안테나 도체의 주위에 교번자계가 발생하고, 그 자속의 대개는 안테나 중심부를 축방향에 통하여 폐루우프를 형성한다. 이와 같은 교번자계에 의하여 안테나(6)의 바로 아래에서 대략 동심원형상에 주위방향의 교번자계가 유기되고, 이 교번자계에 의하여 원주방향에 가속된 전자가 처리가스의 중성입자에 충돌하는 것으로 가스가 전리하여 플라즈마가 생성된다. 이와 같이하여 발생한 플라즈마 중의 반응성 이온에 의하여 웨이퍼(2)의 표면이 에칭된다.
이와 같은 고주파 안테나(6)에 고주파 전력이 인가하면, 주위에 전파가 퍼지지만 챔버(2)가 알루미늄재로 만들어져 있고, 접지되어 있으므로 이 챔버(2)에 의하여 시일드되고, 따라서 장치의 주위에 대한 전파 장해의 우려가 없다. 그리고 고주파 안테나(6)가 챔버(2)의 바깥에 존재하지 않는 만큼 장치의 소형화를 도모하나, 특히 유리한 점은 가령 고주파 안테나(6)가 바깥에 설치되어 있고, 시일드체를 챔버(2)의 바깥에 배치하는 경우, 챔버(2)의 외벽에 가공하지 않으면 안되지만, 챔버(2)를 시일드체로 하여 사용하면, 그와 같은 가공이 불필요하고, 시일드체가 챔버(2)의 바깥에 배치되어 있지 아니하므로 장치의 소형화, 간소화를 도모할 수가 있다.
또, 고주파 안테나가 처리가스 도입부를 겸용하고 있으므로 고주파 안테나에 대하여 별도 예를 들면 그 외주부에 따라서 처리가스 공급부를 설치하는 경우에 비하여 구성이 간단하고, 코일의 주위에 따라서 처리가스의 불어내기 구멍(71)이 배열되므로 웨이퍼(W) 표면에서의 처리가스의 공급을 높은 균일성을 갖고 행할 수가 있고, 균일한 플라즈마 처리를 할 수가 있다. 다만 가스의 유출구는 구멍 대신에 안테나에 따라서 뻗어진 1 또는 복수의 스리트이여도 좋다. 또 처리가스의 흐름에 의하여 고주파 안테나(6)가 내부로부터 냉각되므로 그 냉각효과에 의하여 고주파 안테나(6)의 내주면 및 외주면에 피착한 내식성 재료의 박리를 방지할 수가 있다.
이어서 제4도 및 제5도를 참조하여 상기 실시예의 변형예를 설명한다. 또 이들 변형예 및 후술하는 다른 실시예에 있어서 상기 실시예와 실질적으로 동일부재는 동일참조부호를 붙여서 상세한 설명을 생략한다.
제4도에 나타난 변형예에서는 챔버(2)의 상벽 중앙에 끼워 넣어진 원통부(72a)의 전면 가장자리에 환형상 돌기(72b)가 형성되고, 이들의 내부공간이 가스 안내실로 되어 있다. 웨이퍼와 소정간격을 가지고 대면한 환형상 돌기(72b)의 하단벽에는 처리가스의 불어내기 구멍(73)이 다수 주위방향에 따라서 형성되어 있다. 이렇게 하여 처리가스 공급부가 구성되어 있다. 이 처리가스 공급부는 챔버(2)의 바깥에 설치된 가스 혼합실(74)에 연결하고, 가스 혼합실(74)에는 복수의 가스 공급관(75)(도시의 예에서는 2개)이 접속되어 있다. 그리고 환형상 돌기(72b)의 안쪽에서 원통부(72a)의 아랫방향에는 고주파 안테나(6)가 원통부(72a)에 지지된 냉각 플레이트(8)위에 재치되어 설치되어 있다.
이와 같은 구성에서는 가스 혼합실(74)에 의하여 복수종류의 처리가스가 혼합되어 있으므로 웨이퍼(W) 표면에 대하여 균일한 성분의 처리가스를 공급할 수가 있다. 또 처리가스 공급부의 재질로서는 예를 들면 알루미나계의 세라믹이나 Sic 등을 적합한 것으로 사용할 수가 있다. 전자의 재질은 할로겐가스에 의하여 깎아내기 어려운 것이며, 또 후자의 재질은 깎아낸다고 하여도 실리콘계의 성분이므로 웨이퍼에 대한 악영향이 없다.
또 제5도에 나타낸 변형예에서는 처리가스 공급부가 하벽에 다수의 가스 불어내기 구멍(76)을 소정의 분포로 구비된 원통체(77)에 의하여 구성되어 있고, 이중에 안테나가 설치되어 있다. 또 제4도 및 제5도의 예에서는 고주파 안테나(6)를 냉각하기 위한 냉각 플레이트(8)를 나타내고 있으나, 이것은 반드시 필요로 하는 것은 아니다. 그리고 또 고주파 안테나를 챔버내에 설치함에 있어서는 고주파 안테나를 절연성이고 또 부식재료의 용기로 덮여지도록 하여도 좋고, 제6도에 나타낸 바와 같이 챔버(2)의 상면 부근의 공간을 예를 들면 세라믹으로 되는 칸막이판(9)으로 칸막이하고 그 위에 고주파 안테나(6)를 재치하도록 하여도 좋다.
다음에 제7도 및 제8도를 참조하여 다른 실시예를 설명한다.
이 제2의 실시예에 있어서는 고주파 안테나(6)는 처리가스 공급기능은 가지지 아니한다. 이 안테나(6)를 지지함과 동시에 냉각하기 위한 냉각수단 예를 들면 냉각 플레이트(8)가 접촉하여 설치되어 있다.
이 냉각 플레이트(8)는 제8도에 나타낸 바와 같이 챔버(2)에 부착된 부재(80)를 통하여 부착함과 동시에 절연재료 예를 들면 세라믹으로 되는 내부가 빈 플레이트 본체(81)와, 이 플레이트 본체(81)내에 설치되고, 절연재료 예를 들면 플라스틱으로 되는 냉매관(82)으로 구성되어 있다. 절연재료 예를 들면 플라스틱으로 되는 냉매관(82)으로 구성되어 있다. 냉매관(82)에는 챔버(2)의 외부로부터 예를 들면 냉각수로 되는 유체냉매가 흐른다.
상기 챔버(2)의 측면상부에는 가열수단이나 냉각수단을 조합시켜 구성되고 처리를 소정의 온도로 조정하기 위한 온도 조절수단(85a)을 구비한 가스 공급관(85)에 접속되어 있다. 이 가스 공급관(85)로부터 챔버(2)내에 공급되는 처리가스는 가공의 종류에 의하여 다르게 되고, 예를 들면 에칭가공의 경우에는 CHF3나 CF4등의 에칭가스가 공급된다.
도시의 예에서는 1개의 가스 공급관(85)만 나타내고 있으나, 균일하게 처리 가스를 흐르게 하기 위하여 적당한 개수의 가스 공급관을 챔버(2)에 접속하면 좋다.
이 제2실시예의 플라즈마 처리장치에 있어서도 제1실시예와 동일하게 하고, 플라즈마가 챔버내에 형성되어 웨이퍼의 에칭이 행해진다.
이 에칭처리 중에 고주파 안테나(6)는 고주파 전력의 인가에 의하여 발열하지만, 그 열은 고주파 안테나(6)에 접속하고 있는 냉각 플레이트(8)에 의하여 흡열되어 결과적으로 고주파 안테나(6)의 승온이 억제된다. 여기에서 고주파 안테나(6)와 내주면 및/또는 외주면의 산화 알루미늄층 등의 보호층(20)은 열팽창율이 다르지만, 안테나(6)의 승온이 그 정도 높게 되지 않기 때문에 경계면에 생기는 열응력은 적고, 따라서 보호층의 틀이나 박리를 방지할 수가 있고, 고주파 안테나를 챔버(2)내에 설치한 것에 기인하는 파티클의 발생을 방지할 수가 있다.
이상 제2실시예에서는 고주파 안테나의 냉각수단으로서 냉각플레이트에 한정하지 않고, 예를 들면 제9도에 나타낸 바와 같이 고주파 안테나(6)를 내식성 재료이고, 또 절연재인 예를 들면 세라믹으로 되는 기밀한 용기(93)내에 수납하고, 이중에 냉매 도입관(91) 및 냉매 배출관(95)에 의하여 냉매가스 예를 들면 불활성가스를 흐르도록 구성한 냉각수단을 사용하여도 좋다.
또 상술한 바와 같이 고주파 안테나(6)를 챔버(2)내에 설치하면, 고주파 안테나(6) 챔버(2)의 바깥에 설치한 경우에 비하여 챔버(2)를 고주파 안테나(6)의 시일드체로서 겸용할 수 있는 점에서 유리한 구조이나, 제2실시예에서는 제10도에 나타낸 바와 같이 챔버(2)의 상명을 예를 들면 재치대(3)와 대향하는 영역보다는 넓은 영역에 걸쳐서 석영 등의 절연체(95)에 의하여 구성함과 동시에 이 절연체(95)의 위에 이미 설명한 바와 같이 냉각 플레이트(8)를 재치하고, 또 그 위에 고주파 안테나(6)를 재치하는 구성으로 하여도 좋다. 이 경우 예를 들면 석영은 열도성이 낮으므로 고주파 안테나(6)의 발열초기시에 커다란 온도분포가 생기면 틈이 생길 우려가 있으나, 고주파 안테나(6)와의 사이에 냉각 플레이트(8)가 개재하여 있기 때문에 챔버(2)와 반대쪽면의 온도 상승이 억제되고, 따라서 석영 등의 절연체의 틈을 방지할 수 있고, 챔버(2)의 파열사고를 방지할 수가 있다.
여기에서는 제2실시예의 장치에 있어서, 처리가스를 제공하는 수단의 1예에 관하여 제11도 및 제12도를 참조하면서 설명한다. 도면 중 부호 96은 예를 들면 고주파 안테나(6)의 바깥지름보다도 내경이 약간 큰 링체를 나타내고, 이 링체(96)는 관형상체로 구성되어 있고, 그 저면쪽에 다수의 가스 불어내기구멍(97)이 주위방향에 형성되어 있다. 결국 링체(96)에는 L자형의 지지관(98)이 상단이 결합됨과 동시에 이 지지관(98)의 하단은 챔버(2)의 외부에 바닥을 통하여 관통하여 있고, 챔버(2)의 바깥의 승강기구(99)에 의하여 승강 가능하게 구성되어 있다.
지지관(98) 내에는 처리가스용의 가스 공급관(100)이 삽입되어 있고, 그 안쪽 끝단은 링체(96)의 내부공간에 개구되어 있다. 따라서 링체(96)의 가스 불어내기 구멍(97)으로부터 처리가스가 불어내는 것이 되지만, 이와 같이 구성하면, 웨이퍼 표면에 있어서의 가스 흐름의 면내균일성을 높게 할 수가 있는 외, 링체(96)의 높이를 변경할 수가 있으므로 웨이퍼(W) 표면에 있어서의 가스의 흐름을 조정할 수가 있다고 하는 이점이 있다. 또 에칭에 의한 반응 생성물을 신속하게 환기하기 위하여 상기 링체(96)에 있어서, 가스 불어내기 구멍과, 배기구멍을 예를 들면 교호로 배열하도록 하여도 좋다.
그리고 또 처리가스를 공급하는 수단으로서는 제13도에 나타낸 바와 같이 저면에 가스 불어내기 구멍(101)를 구비한 원통형상의 가스 공급실(102)을 챔버(2)의 상부에 설치함과 동시에 이 가스 공급실(102)에 통하는 가스 혼합실(103)를 설치하고, 이 가스 혼합실(103)에 복수의(도시의 예에서는 2개) 가스 공급관(104)을 접속하여 구성하여도 좋고, 이와 같이 저면 처리가스를 높은 균일성으로 혼합할 수가 있다.
이상에 있어서 플라즈마 처리로서 에칭처리의 예를 열거하여 설명하였으나, 본 발명은 플라즈마 CVD 장치, 플라즈마 에칭장치, 플라즈마장치 등 다른 플라즈마 처리장치에도 적용할 수가 있고, 또 피처리체로서는 반도체 웨이퍼에 한정되지 않고, LCD 기판등이여도 좋다.

Claims (16)

  1. 도전성 부재로 적어도 일부가 형성된 기밀구조의 챔버와; 상기 챔버내에 설치되고 피처리체를 지지하는 지지수단과; 상기 챔버내에 처리가스를 도입하는 수단과; 상기 챔버의 상기 도전성 부재를 접지하는 수단과; 상기 챔버 내에서, 상기 지지수단에 지지된 피처리체와 소정의 간격을 가지고 대향하여 설치된 평면형상의 코일로 이루어진 고주파 안테나와; 상기 고주파 안테나에 고주파 전력을 인가하여, 상기 안테나와 피처리체 사이에 플라즈마를 발생시켜, 이 플라즈마에 의해 피처리체를 처리하는 수단을 포함하여 구성되며; 상기 처리가스 공급수단은 상기 평면 형상의 코일내에서 이 코일을 따라 형성된 처리가스 안내로와, 상기 코일 내에서, 상기 챔버의 내부와 상기 처리가스 안내로를 연통하는 연통수단과, 상기 처리가스 안내로에 처리가스를 공급하여, 그 결과 공급된 처리가스를 상기 연통수단을 통해 상기 챔버로 들어가는 수단을 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  2. 제1항에 있어서, 상기 연통수단은 피처리체와 대향하여 상기 코일의 표면에 형성되고, 상기 코일이 연장되는 방향을 따라서 분포하는 복수의 처리가스 유출구를 가지는 플라즈마 처리장치.
  3. 제1항에 있어서, 상기 고주파 안테나는 내식성 재료로 코팅된 외주면을 가지며, 상기 안테나와 상기 챔버 사이에 설치되는 상기 고주파 안테나를 냉각하기 위한 냉각수단을 더욱 구비하는 플라즈마 처리장치.
  4. 제1항에 있어서, 상기 지지수단과 상기 챔버 사이에 전위를 발생시키기 위해, 상기 지지수단에 전압을 인가하는 수단을 더욱 구비하는 플라즈마 처리장치.
  5. 제1항에 있어서, 상기 챔버내에 설치된 칸막이판을 더욱 가지며, 상기 칸막이판 위에 상기 안테나가 재치되는 플라즈마 처리장치.
  6. 도전성 부재로 적어도 일부가 형성된 기밀구조의 챔버와; 상기 챔버내에 설치되고 피처리체를 지지하는 지지수단과; 상기 챔버내에 처리가스를 도입하는 수단과; 상기 챔버의 상기 도전성 부재를 접지하는 수단과; 상기 챔버 내에서, 상기 지지수단에 지지된 피처리체와 소정의 간격을 가지고 대향하여 설치된 평면형상의 코일로 이루어진 고주파 안테나와; 상기 고주파 안테나에 고주파 전력을 인가하여, 상기 안테나와 피처리체 사이에 플라즈마를 발생시켜, 이 플라즈마에 의해 피처리체를 처리하는 수단을 포함하여 구성되며, 상기 처리가스 공급수단은 관형상체, 가스 혼합실, 및 가스 공급관을 포함하고, 상기 관형상체는 상기 챔버를 관통하고, 상기 챔버에 고정되며, 그 내부에 가스 안내실이 규정되고, 상기 안내실과 상기 챔버의 내부를 연통하기 위한 환형상으로 배치된 다수의 불어내기 구멍을 가지며, 상기 혼합실은 상기 챔버의 바깥에 설치되고 상기 가스안내실과 연통하며, 상기 가스 공급관은 상기 가스 혼합실에 처리가스를 공급하며; 상기 안테나는 불어내기 구멍에 둘러 쌓이도록 상기 관형상체에 장착되는 것을 특징으로 하는 플라즈마 처리장치.
  7. 도전성 부재로 적어도 일부가 형성된 기밀구조의 챔버와; 상기 챔버내에 설치되고 피처리체를 지지하는 지지수단과; 상기 챔버내에 처리가스를 도입하는 수단과; 상기 챔버의 상기 도전성 부재를 접지하는 수단과; 상기 챔버 내에서, 상기 지지수단에 지지된 피처리체와 소정의 간격을 가지고 대향하여 설치된 평면형상의 코일로 이루어진 고주파 안테나와; 상기 고주파 안테나에 고주파 전력을 인가하여 상기 안테나와 피처리체 사이에 플라즈마를 발생시켜, 이 플라즈마에 의해 피처리체를 처리하는 수단을 포함하여 구성되며, 상기 처리가스 공급수단은 관형상체, 가스 혼합실, 가스공급파이프를 포함하고, 상기 관형상체는 상기 챔버를 관통하고 상기 챔버에 고정되며, 그 내부에 가스 안내실이 규정되고, 상기 안내실과 상기 챔버를 연통하기 위해 배치된 다수의 불어내기 구멍을 가지며, 상기 혼합실은 상기 챔버의 바깥에 설치되고 상기 가스안내실과 연통하며, 상기 가스 공급관은 상기 가스 혼합실에 처리가스를 공급하며; 상기 안테나는 상기 가스 안내실에 배치되는 것을 특징으로 하는 플라즈마 처리장치.
  8. 기밀구조의 챔버와; 상기 챔버내에 설치되고, 피처리체를 지지하는 지지수단과; 상기 챔버내에 처리가스를 도입하는 수단과; 상기 챔버내에서 상기 지지수단에 지지된 피처리체와 소정의 간격을 가지고 대향하여 설치된 고주파 안테나와; 상기 고주파 안테나에 고주파 전력을 인가하여 상기 안테나와 피처리체 사이에 플라즈마를 발생시켜, 이 플라즈마에 의해 피처리체를 처리하는 수단과; 상기 고주파 안테나를 냉각하기 위한 수단과; 상기 고주파 안테나는 평면형상의 코일과, 상기 평면형상의 코일의 외주면에 피복되고 내식성 재료로 이루어지는 보호층을 가지는 것을 구비하는 플라즈마 처리장치.
  9. 제8항에 있어서, 상기 냉각수단은 상기 고주파 안테나의 아랫면쪽에 이 고주파 안테나를 지지함과 동시에 냉각하는 속이 빈 냉각 플레이트와, 이 냉각 플레이트 안으로 냉각유체를 공급하는 수단을 가지는 플라즈마 처리장치.
  10. 제9항에 있어서, 상기 냉각수단은 상기 챔버 중에 설치되고, 상기 고주파 안테나를 둘러싸며, 내식성 재료로 구성되고, 절연성 재료로 구성되며 상기 지지수단에 대향하는 부분을 가지는 기밀한 용기와, 이 용기 중에 냉각유체를 공급하는 수단을 더욱 구비하는 플라즈마 처리장치.
  11. 제9항에 있어서, 상기 처리가스 공급수단은 상기 고주파 안테나를 둘러싸도록 챔버 내에 설치되고, 복수의 가스 불어내기 구멍을 주위방향으로 소정간격을 두고 가지는 관형상체와, 이 관형상체에 처리가스를 보내도록 챔버를 관통하여 관형상체에 연통된 가스 공급관을 가지는 플라즈마 처리장치.
  12. 제11항에 있어서, 상기 관형상체를 피처리체와의 사이에 거리를 변경하도록 이동시키는 수단을 구비하는 플라즈마 처리장치.
  13. 제8항에 있어서, 상기 평면형상의 코일은 내식성 재료로 코팅된 내면을 가지는 관형상체를 가지는 플라즈마 처리장치.
  14. 기밀구조의 챔버와; 상기 챔버내에 설치되고 피처리체를 지지하는 지지수단과; 상기 챔버내에 처리가스를 도입하는 수단과; 상기 챔버내에서 상기 지지수단에 지지된 피처리체와 소정의 간격을 가지고 대향하여 설치된 평면형상의 고주파 안테나와; 상기 고주파 안테나에 고주파 전력을 인가하여 상기 안테나와 피처리체 사이에 플라즈마를 발생시켜, 이 플라즈마에 의해 피처리체를 처리하는 수단을 구비하는 플라즈마 처리장치.
  15. 제14항에 있어서, 상기 챔버는 상기 피처리체와 고주파 코일사이에 위치함과 동시에 이 고주파 코일을 지지하는 전기 절연성의 벽부를 가지는 플라즈마 처리장치.
  16. 제15항에 있어서, 상기 고주파 안테나는 상기 평면형상의 코일 외주면에 형성되고 내식성 재료로 되는 보호층을 가지는 플라즈마 처리장치.
KR1019940025301A 1993-10-04 1994-10-04 플라즈마 처리장치 KR100270207B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP27314293A JP3050732B2 (ja) 1993-10-04 1993-10-04 プラズマ処理装置
JP93-273142 1993-10-04
JP93-273141 1993-10-04
JP27314193A JP3165941B2 (ja) 1993-10-04 1993-10-04 プラズマ処理装置及びその方法

Publications (2)

Publication Number Publication Date
KR950012606A KR950012606A (ko) 1995-05-16
KR100270207B1 true KR100270207B1 (ko) 2000-12-01

Family

ID=26550532

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940025301A KR100270207B1 (ko) 1993-10-04 1994-10-04 플라즈마 처리장치

Country Status (3)

Country Link
US (2) US5683537A (ko)
KR (1) KR100270207B1 (ko)
TW (1) TW273067B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009008659A2 (en) * 2007-07-11 2009-01-15 Sosul Co., Ltd. Plasma etching apparatus and method of etching wafer
KR100980525B1 (ko) 2007-01-19 2010-09-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW277139B (ko) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
TW312815B (ko) * 1995-12-15 1997-08-11 Hitachi Ltd
WO1997039607A1 (fr) * 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6361661B2 (en) * 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6071372A (en) 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
JP3207147B2 (ja) * 1997-12-19 2001-09-10 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6165910A (en) * 1997-12-29 2000-12-26 Lam Research Corporation Self-aligned contacts for semiconductor device
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
JPH11302878A (ja) * 1998-04-21 1999-11-02 Speedfam-Ipec Co Ltd ウエハ平坦化方法,ウエハ平坦化システム及びウエハ
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6033479A (en) * 1998-04-22 2000-03-07 Applied Materials, Inc. Process gas delivery system for CVD having a cleaning subsystem
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6170496B1 (en) * 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
WO2000017906A2 (en) * 1998-09-22 2000-03-30 Applied Materials, Inc. Rf plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6132575A (en) * 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6139679A (en) * 1998-10-15 2000-10-31 Applied Materials, Inc. Coil and coil feedthrough
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
TW503442B (en) * 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP4672113B2 (ja) * 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
WO2002013225A2 (en) * 2000-08-08 2002-02-14 Tokyo Electron Limited Plasma processing method and apparatus
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
CA2432068C (en) * 2000-12-27 2008-10-07 Japan Science And Technology Corporation Plasma generator
JP2002252219A (ja) * 2001-02-26 2002-09-06 Tokyo Electron Ltd 成膜装置及び成膜方法
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20050194098A1 (en) * 2003-03-24 2005-09-08 Advanced Energy Industries, Inc. Cast design for plasma chamber cooling
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US20050003673A1 (en) * 2003-07-02 2005-01-06 Omid Mahdavi Thin film resistor etch
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US9228254B2 (en) * 2006-01-12 2016-01-05 Seagate Technology Llc Cathode sputtering gas distribution apparatus
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US20070235320A1 (en) 2006-04-06 2007-10-11 Applied Materials, Inc. Reactive sputtering chamber with gas distribution tubes
WO2007118204A2 (en) * 2006-04-06 2007-10-18 Applied Materials, Inc. Reactive sputtering zinc oxide transparent conductive oxides onto large area substrates
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7674662B2 (en) * 2006-07-19 2010-03-09 Applied Materials, Inc. Process for making thin film field effect transistors using zinc oxide
US20080078506A1 (en) * 2006-09-29 2008-04-03 Zyvex Corporation RF Coil Plasma Generation
US20080113107A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for containment shielding during pecvd deposition processes
US7927713B2 (en) 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
EP2183780A4 (en) * 2007-08-02 2010-07-28 Applied Materials Inc THIN FILM TRANSISTORS USING THIN FILM SEMICONDUCTOR MATERIALS
US8980066B2 (en) * 2008-03-14 2015-03-17 Applied Materials, Inc. Thin film metal oxynitride semiconductors
WO2009117438A2 (en) 2008-03-20 2009-09-24 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
CN102640294B (zh) 2009-09-24 2014-12-17 应用材料公司 将湿式处理用于源极-漏极金属蚀刻从而制造金属氧化物或金属氮氧化物tft的方法
US8840763B2 (en) 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
US9398680B2 (en) * 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US10777387B2 (en) * 2012-09-28 2020-09-15 Semes Co., Ltd. Apparatus for treating substrate
JP6807217B2 (ja) * 2016-11-16 2021-01-06 東京エレクトロン株式会社 ステージ及び基板処理装置
US20190157048A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and method for forming semiconductor device structure

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100980525B1 (ko) 2007-01-19 2010-09-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
WO2009008659A2 (en) * 2007-07-11 2009-01-15 Sosul Co., Ltd. Plasma etching apparatus and method of etching wafer
WO2009008659A3 (en) * 2007-07-11 2009-03-12 Sosul Co Ltd Plasma etching apparatus and method of etching wafer

Also Published As

Publication number Publication date
US6024827A (en) 2000-02-15
KR950012606A (ko) 1995-05-16
US5683537A (en) 1997-11-04
TW273067B (ko) 1996-03-21

Similar Documents

Publication Publication Date Title
KR100270207B1 (ko) 플라즈마 처리장치
KR100274757B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
US5938883A (en) Plasma processing apparatus
KR100349064B1 (ko) 플라즈마처리장치
KR100471728B1 (ko) 플라즈마 처리장치
KR0159178B1 (ko) 플라즈마처리 장치와 플라즈마처리 방법
JP3150058B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2001185542A (ja) プラズマ処理装置及びそれを用いたプラズマ処理方法
KR102218686B1 (ko) 플라스마 처리 장치
US6850012B2 (en) Plasma processing apparatus
JP3165941B2 (ja) プラズマ処理装置及びその方法
JPH04279044A (ja) 試料保持装置
US20050022736A1 (en) Method for balancing return currents in plasma processing apparatus
JP3173693B2 (ja) プラズマ処理装置及びその方法
JP3050732B2 (ja) プラズマ処理装置
US20040163595A1 (en) Plasma processing apparatus
US6136140A (en) Plasma processing apparatus
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP3372244B2 (ja) プラズマ処理装置
JP3173692B2 (ja) プラズマ処理方法
TWI850569B (zh) 電漿處理裝置
KR20240114600A (ko) 기판 처리 장치
TW202233023A (zh) 電漿處理裝置與其製造方法及電漿處理方法
JPH07245296A (ja) プラズマ処理装置
USRE40963E1 (en) Method for plasma processing by shaping an induced electric field

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110617

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee