TW273067B - - Google Patents

Download PDF

Info

Publication number
TW273067B
TW273067B TW083109098A TW83109098A TW273067B TW 273067 B TW273067 B TW 273067B TW 083109098 A TW083109098 A TW 083109098A TW 83109098 A TW83109098 A TW 83109098A TW 273067 B TW273067 B TW 273067B
Authority
TW
Taiwan
Prior art keywords
antenna
chamber
gas
airtight chamber
processed
Prior art date
Application number
TW083109098A
Other languages
English (en)
Original Assignee
Tokyo Electron Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP27314293A external-priority patent/JP3050732B2/ja
Priority claimed from JP27314193A external-priority patent/JP3165941B2/ja
Application filed by Tokyo Electron Co Ltd filed Critical Tokyo Electron Co Ltd
Application granted granted Critical
Publication of TW273067B publication Critical patent/TW273067B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

^73067 經濟部中央標準局員工消費合作社印製 五、發明説明(1 ) 發明背長 1 .發明領域 本發明關於利用R F感應法的電漿處理裝置。 2 .相關半導 元件並形 刻的傳統 此傳 氣體的氣 正對。 此電 氣體供自 ,以產生 裝置 ,室中的 壓下,由 晶園直徑 在寬表面 有大直徑 因此 技藝說明 體晶圆處理步驟 成接觸孔。平行 裝置。 統裝置中,也做 密室,也做爲供 (請先閲讀背面之注意事項再塡寫本頁) 中,進行乾蝕刻以隔離電容器和 板型電漿處理裝置代表進行乾触 爲下電極的休止台位於供入處理 氧區的上電極位於休止台上方而 裝置中,首先,晶圓置於休止台上,處理 。同時,R F功率由R F電源施於二霉極 由電漿中的反應離子來蝕刻晶園。 線寬愈來愈小。當電漿產生在上述裝β時 1 0 OmTo r r 至 ITo r r。在此高 的平均自由時間短,故難以進行微定型。 。當離子的平均自由時間短時,無法確保 漿分布的高均勻性,因此難以均勻處理具 漿處理 供氣區 電漿, 的圖型 壓力爲 於離子 也增加 上之電 的晶圓 ,近年 專利公 9 0 2 來,硏究R F感應法的應用。依據此方法 開公告3 7 9 8 2 8號和日本專利公開公 5號所述,正對休止台之室的上壁部由例 如石英玻璃構件的絕緣構件所構件,平坦線圈裝在絕緣構 ,如歐洲 告3 — 7 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)-4- A7 B7 ^/〇067 五、發明説明(2 ) (請先閲讀背面之注意事項再填寫本頁) 件外表面上。R F電流送到此線圈,在室中形成電磁場。 使得電磁場中流動的電子碰撞處理氣體中的中性粒子,藉 以產生電漿。 依據此方法,依據線圈形狀感應同心電場,藉以提供 電漿限制效果。與傳統平行板型電漿處理裝置比較時,能 以比較低壓力產生電漿。所以,產生電漿中的離子平均自 由時間長。因此,此電漿蝕刻適於微定型。電漿從高濃度 區擴散到低濃度區。由於離子平均自由時間長,故電漿澳 度分布平滑。因此,在平行於晶圓平坦表面之平面上的電 漿均匀性高,藉以增加具有大直徑之晶圍之電漿處理平面 內的均勻性。 經濟部中央標準局員工消費合作社印製 依此方式,RF感應方式吸引注目,成爲適於具有大 直徑之晶圚之微定型和處理的方法。但爲實際使用R F感 應法,必須解決各種問題。例如,一問題如下。由於R F 天線設在室外,故若大功率施於天線,則在天線周園造成 電磁干擾。因此,RF天線必須覆以屛蔽,導致大的複椎 裝置。當室上壁由石英製成,且R F天線置於室上壁上時 ,由於石英具有小導熱性,故接觸天線之室的部分在功率 施加時被局部加熱。然後,大的熱應力產生在石英而造成 龜裂,導致室爆炸。 本發明的目標是提供全然減小的電漿處理裝置,以將 R F功率施於包括線圈之R F天線所得的電漿來處理要處 理的物體。 本發明的其它目標和優點在下文中提出,部分從文中 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)-5 _ Α7 Β7 ^/υ0β7 五、發明説明(3 ) 可知,或可由實施本發明而得知。由申請專利範圍所特別 指出的組合可實現及得到本發明的目標和優點。 圖式簡述 併入且構成說明書一部分的附圖顯示本發明較佳實施 例,配合上文及以下的較佳實施例詳述,用來解釋發明原 理0 圖1是剖面圖,顯示本發明第一實施例之電漿處理裝 置的整個配置; 圖2是分解透視圖,顯示圖1之電漿處理裝置的整個 配置綱要: 圖3是底視圖,顯示用於電漿處理裝置的RF天線; 圖4是剖面圖,顯示第一實施例的修改部分; 圖5是剖面圖,顯示第一實施例的另一修改部分; 圖6是剖面圖,顯示第一實施例的另一修改部分: 圖7是剖面圖,顯示本發明第二實施例的整個配置; 圖8是分解透視圖,顯示圖7之實施例的整個配g綱 要; 圖9是剖面圖,顯示第二實施例的修改: 圖1 0是剖面圖,顯示第二實施例的另一修改: 圖1 1是透視圖,顯示第二實施例的處理氣體供應機 構配置: 圖1 2是圖1 1之供氣環的底視圖: 圖1 2是剖面圖,顯示第二實施例的另—修改。 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)-6 -~~~ ..................-「·-裝.....................訂...........:'·-*,線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社即製 經濟部中央標準局員工消費合作社印製 273067 五、發明説明(4 ) 較佳窗施例詳_ 圖1是剖面圚,顯示本發明之實施例之電漿處理裝置 的整個配置,例如蝕刻處理,圖2是部分切除分解透視圖 。參照圖1和2,參考數字2代表由例如鋁之導電材料部 分或完全構成之接地的圓柱形或矩形氣密室或處理室。做 爲要處理之物體的半導體晶圓經由閘控閥(未圚示)而裝 入或卸離此室。例如鋁之導電材料製成的休止台或基座3 設在室2的中心底部。 以螺栓3 3分別耦合做爲上部的休止區3 1和支撑休 止區3 1之做爲下部的支持區3 2,構成休止台3。絕緣 體3 4介於支持區3 2的與室2的底壁之間,電性分離支 持画3 2和室2。靜電卡盤4設在休止區3 1的上表面上 ,覆蓋不含周邊部之休止區3 1的上表面。以例如聚亞胺 膜製成的絕緣膜4 2從二側覆蓋例如銅箔製成之做爲靜電 卡盤電極的導電膜4 1 ,構成靜電卡盤4。導電膜4 1經 由開關4 4而在室2之外電連接DC電源4 3。 許多反側氣體(導熱氣體)的孔部或通孔5 1形成在 休止區3 1 ,上端開在休止區3 1的上表面。孔部5 1的 下端經由形成在休止區3 1的通風室5 2連通反側氣體的 供氣路徑5 3。在對應於各孔部5 1的位置,垂直通孔( 未圖示)形成於靜電卡盤4。供自孔部5 1的反側氣體經 由靜電卡盤4的孔送到靜電卡盤4上方的部分,吹著卡在 靜電卡盤4上之晶圓W的下表面。供氣路徑5 3經由調壓 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)-7 - ..................":裝................、玎........::-線 - . (請先閱讀背面之注意事項再塡寫本頁) 275067 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(5 ) 單元5 4 (例如蝶形閥)接到供氣源(未圖示),例如 H e氣體源。 通風室5 2設有壓力偵測器5 5,偵測反側氣壓。包 含在本發明之裝置之控制系統的控制器5 6根據壓力偵測 器5 5所偵測的壓力來調整調壓單元5 4 (例如蝶形閥) 的打開程度,使得從孔部51吹向晶圓W之下表面的反側 氣體的壓力保持在預定値,例如約1 Ο T 〇 r r。 環形聚焦環2 1位於休止區3 1的周邊部以包圍晶圓 W。聚焦環21由不吸引反應離子的絕緣材料製成,因而 聚焦環21內的晶圓W有效吸引反應離子。 環形冷卻劑容器3 5形成於支持區3 2來循環冷卻劑 ,藉以經由休止台3來冷卻晶圓W。冷卻劑容器3 5設有 伸到室外的入口管3 6 A和排出管3 6 B。經由入口管 3 6 A送入冷卻劑容器3 5的冷卻劑(例如液態氮)經由 排出管3 6 B排到裝置之外。 在室2的上壁內表面附近,例如螺旋線圈之平坦線圈 或多個同心環製成的R F天線6以例如氟塑膠之絕綠體製 成的固定構件2 3 (見圖2 )固定於室2,使其正對休止 台3。RF天線6由例如鋁的導電材料製成,由具有打開 外端和封閉內端的管狀體構成。處理氣體引導室空間或引 導路徑界定於管狀體而延伸。管狀體的內外周表面覆以例 如氧化鋁之防蝕材料製成的保護層(在下述第二實施例由 參考數字2 0代表),以防止處理氣體所造成的腐蝕。 供氣管7的一端接到RF天線6的打開外端,將處理 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)-8- ...............-:-s:裝................訂...........:f 線 - - ^ (請先閱讀背面之注意事項再填寫本頁) A7 B7 2/3067 五、發明説明(6 ) (請先閱讀背面之注意事項再填寫本頁) 氣體送入RF天線6 ’亦即管狀處理氣H引導空間°供氣 管7的另一端伸到室外,同時保持與室隔離,且接到供應 源(未圚示)以在蝕刻的情形供應處理氣體’例如 CHF 3或CF4。如圖3 ’連通管狀體之內部空間和外部 (室2中的空間)的處理氣體出口(例如氣體吹出孔7 1 )沿著線圈形成於RF天線6的下表面°適當設定吹出孔 7 1的配置,數目,尺寸,使得經由孔而吹入室中的處理 氣體在晶圓W上具有均匀濃度分布。 13. 5 6^1112和11<\¥的1??電壓從電漿產生 RFm源6 1經由匹配電路6 2施於二端子(內外端子) 。因此,RF電流經RF天線6 ,電漿產生在RF電線6 下的空間。 冷卻R F天線的冷卻機構(例如冷卻板8 )設在R F 天線6的上表面上。冷卻板8中,例如冷卻水的冷卻劑流 經冷卻劑管8 a。當RF天線6以此方式冷卻時,可抑制 在天線之外和/或內周表面上之天線材料與防蝕材料的熱 膨脹係數差所造成的防蝕材料分離。 經濟部中央標準局員工消费合作社印製 R F電源2 2接在休止台3與地之間,以將頻率低於 要送到R F天線6之R F電壓之頻率(例如4 0 0 Κ Η z )的偏壓施於休止台3。室2接地,保持在零電位。因此 ,電場產生在休止台3與室2之間。結果,室中之電漿之 反應離子相對於晶園W的入射垂直性增加。 各排出管2 4的一端在排出管2 4於室2之圓周方向 等距的位置接到室2的底表面。圖1中,連接各排出管 本紙張尺度適用中國國家標準(CNS)A4规格(210X297公釐)-9 - A 7 B7 W3067 五、發明説明(7 ) 2 4的一端,使得二排出管2 4對室2的軸對稱。各排出 管2 4的另一端接到設有調壓單元2 5 (例如碟形閥)的 (請先閲讀背面之注意事項再填寫本頁) 共同排出管2 7和眞空泵2 6 ,如圖2。此實施例中,根 據設在室2之壓力偵測器2 8所得的偵測壓力値,排出控 制器2 9調整調壓單元2 5 ,因而排出系統在抽空的起始 狀態緩慢排出而不使粒子散開,在抽空到某一程度後快速 排出。 經濟部中央標準局員工消費合作社印製 說明此裝置的作業。首先,要處理的物體(例如晶圓 W)由輸送臂(未圖示)裝入室2並置於靜電卡盤4上。 室2之內被眞空泵2 6經由排出管2 4抽空到預定眞空氣 氛,經由排出管2 4抽空,同時將例如CF4的蝕刻氣體 從(吹出孔7 1經由RF天線6的內部空間送入室2 ,以 保持室2的內部在幾mTo r r至幾十mTo r r的眞空 程度。同時,RF電壓由RF電源6 1施於RF天線6。 當RF電流在施加RF電壓時流經RF天線6,則交變磁 場產生在天線導體周圍,大部分磁通在垂直方向通過R F 天線6的中心部,藉以形成閉環。在R F天線6下此交變 磁場感應交變電場,在圓周方向幾乎同心。在圓周方向被 交變電場加速的電子碰撞處理氣體的中性粒子,使氣體游 離,藉以產生電漿。依此方式產生之電漿的反應離子蝕刻 晶圓W的表面或形成於其上的絕緣或導電層。 當RF功率以此方式施於RF天線6時,無線電波易 於在R F天線6周園展開。但由於室2由鋁製成且接地, 故室2遮蔽無線電波,藉以防止裝置周圍的電磁干擾。由 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)-1〇 - A7 B7 273067 五、發明説明(8 ) (請先閱讀背面之注意事項再塡寫本頁) 於R F天線6不在室2之外,故裝置可縮小。優點如下。 若R F天線設在室2之外且屛蔽體要設在室外。則室2的 外壁必須處理以提供屛蔽體。但若室2做爲屛蔽體,則不 需此處理。由於屛蔽體不設在室2之外,故可達成裝置的 縮小和簡化。 由於R F天線也做爲處理氣體供應區,故與處理氣髓 供應區獨立設於RF天線(例如圍繞RF天線)的情形比 較,配置較簡單。當沿著線圈形成處理氣體吹出孔7 1時 ,重叠休止台3上之晶圓W的投影。由於環形安排吹出孔 71 ,故處理氣體能以高均勻性送到晶固W的表面,藉以 進行均匀電漿處理。注意出氣口不一定是孔,可以是沿著 天線延伸的一或多個縫隙。由於處理氣流從內部冷卻R F 天線6 ,故此冷卻效果可防止形成於RF天線6之內外周 表面上之防蝕材料的分離。 參照圖4和5來說明此賁施例的修改。這些修改和其 它實施例中,與上述實施例大致相同的構件由相同參考數 字代表,省略其詳述。 經濟部中央標準局員工消费合作社印製 圇4的修改中,環形凸壁7 2 b形成於裝在室2之上 壁中心的圓柱部7 2 a的下表面周邊。環形凸壁7 2 b和 圓柱部7 2 a所界定的內部空間做爲導氣室。多個處理氣 體吹出孔7 3在圓周方向形成環形凸壁7 2 b的下端壁, 以預定間隙正對晶園。因而構成處理氣體供應區。此處理 氣體供應區連通設在室2之外的氣體混合室7 4 ,多個供 氣管7 5 (圖4顯示二個)接到氣體混合室7 4。RF天 本紙張尺度適用中國國家標準(CNS)A4规格(210X297公董)_ 11 - A7 B7 273067 五、發明説明(9 ) 線6位於環形凸壁7 2 b內及圓柱部7 2 a下,置於圚柱 部7 2 a所支撑的冷卻板8上。此配置中,由於送自管 (請先閱讀背面之注意事項再填寫本頁) 7 5的多種處理氣體在氣體混合室7 3混合,且混合氣髓 經由導氣室送入室中,故具有均勻成分的處理氣體可送到 晶圓W的表面。氧化鋁基陶瓷或S i c可適當做爲處理氣 體供應區的材料。鹵氣不易蝕刻氧化鋁基陶瓷。至於 S i C,當它做爲處理氣體供應區的材料時,即使蝕刻供 氣部,但由於S i C是矽基成分,故不會不利影響晶園。 圖5的修改中,在下壁具有預定分布之許多氣體吹出 孔7 6的園柱體7 7構成處理氣體供應區。RF天線6設 在圓柱體7 7。圖4和5的裝置用冷卻板8來冷卻RF天 線6。但不一定需要冷卻板8。當RF天線設在室中時, R F天線可裝在絕緣防蝕材料製成的容器中。如圖6 ,接 近上表面之室2的空間能以例如陶瓷製成的隔板9分隔, RF天線6可置於隔板9上。 參照圖7和8來說明另一實施例。 經濟部中央標準局員工消費合作社印製 此第二實施例中,RF天線6沒有處理氣體供應功能 。支撑及冷卻R F天線6的冷卻機構(例如冷卻板8 )設 在天線6的下表面上並接觸天線6 °如圇8,冷卻板8由 空心板體8 1和冷卻劑管8 2構成。板體8 1經由安裝構 件8 0裝在室2 ,由例如陶瓷的絕緣體製成。冷卻劑管 8 2設在板體8 1 ,由例如塑膠的絕緣體製成。例如純水 之冷卻水所構成的冷卻劑流體從室2之外送到冷卻劑管 8 2 ° 本紙張尺度適用中國國家標準(CNS)A4規格(21〇X297公I) - 12 - 273067 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(1〇 ) 具有調整處理氣體到預定溫度之調溫機構8 5 a的供 氣管8 5由結合加熱機構和冷卻機構來構成,接到室2的 側表面上部。要經由供氣管8 5送入室2的處理氣體依處 理種類而不同。例如,要蝕刻時,供應例如C H F 3或 CF4的蝕刻氣體。圖7中,只顯示一供氣管8 5。但適 當數目的供氣管可接到室2,以均勻供應處理氣體。 第二實施例的遒漿處理裝置中,電漿以第一實施例的 相同方式產生於室中,藉以蝕刻晶圆。 蝕刻中,RF天線6在施加RF功率時產生熱。接觸 R F天線6的冷卻板8吸收產生的熱。結果,抑制R F天 線6的溫升。形成在R F天線6之內和/或外周表面上的 保護層2 0 (例如氧化鋁層)和RF天線6具有不同熱膨 脹係數。但由於R F天線6的溫度不增加很高,故在R F 天線6與保護層2 0間之介面上所造成的熱應力小。因此 ,可防止保護層破裂及分離,藉以防止在室2提供R F天 線6所造成的粒子產生。 上述第二實施例中,RF天線冷卻機構不限於冷卻板 。例如,如圖9 ,將R F天線6裝在例如陶瓷之防蝕絕緣 體所製成的氣密容器9 3可構成冷卻機構。例如情氣的冷 卻劑氣體經由冷卻劑入口管9 1流入容器9 3,從冷卻劑 排出管9 5排出。 若R F天線6設在室2如上述,則與R F天線6設在 室外的情形比較時,優點在於室2也可做爲RF天線6的 屛蔽體。第二實施例中,如圖1 0 ,室2的上表面可由在 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)-13 - ..................裝..........……訂.............| 線 (請先閲讀背面之注意事項再填寫本頁) 273067 A7 B7 五、發明説明(η ) 比正對休止台3之區域更宽的範圍中的絕緣體1 1 〇 (例 如石英)構成,與上述相同的冷卻板8可放在絕緣體 1 1 0上,RF天線6可放在冷卻板8上。在此情形,由 於石英的熱性小,故若大溫度分布形成於R F天線6之熱 產生的早期階段,則會發生破裂。但由於冷卻板8介於 RF天線6與室2的上表面之間,故抑制與室2相反之表 面的溫升。結果,可防止例如石英的絕緣體破裂,藉以防 止室2爆炸。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 參照圖11和12來說明第二實施例之裝置之處理氣 體供應機構的配置。參照圖1 1和1 2 ,參考數字9 6代 表內徑稍大於RF天線6之外徑的環。環9 6由管狀體構 成,許多氣體吹出孔9 7在圓周方向形成於環9 6的下表 面。L形支管9 8的上端耦合到環9 6。支管9 8的下端 經由室2的底壁伸到室2之外。因此,環9 6可藉由室2 之外的上升機構9 9而垂直移動。處理氣體供應管1 0 0 插入支管9 8。供氣管1 0 0的內端在環9 6的內部空間 打開。所以,處理氣體從環9 6的氣體吹出孔9 7吹掉。 利用此配置,可增加晶圓表面上之氣流的同平面均匀性, 可改變環9 6的高度,因而可調整晶圓W之表面上的氣流 。爲快速排出蝕刻所形成的反應產物,氣體吹出孔和排氣 孔可交替形成於環9 6。 至於供應處理氣體的機構,如圖1 3,在下表面具有 氣體吹出孔1 〇 1的圓柱形供氣室1 0 2可設在室2的上 部,可提供氣體混合室1 0 3連通供氣室1 0 2,多個( 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)-14 - A7 B7 2/3067 五、發明説明(12 ) 圖1 3爲二個)供氣管1 0 4可接到氣體混合室1 0 3。 利用此配置,能以高均勻性混合處理氣體。 以上參照蝕刻來說明電漿裝置。但本發明同樣可用於 其它電漿處理裝置,例如電漿LVD裝置,電漿成灰裝置 ,電漿賤射裝置等。注意R F天線可藉由表面進行防蝕處 理而設在室中。要處理的物體不限於半導體晶園,可爲 L C D基底。 熟習此道者易於思及其它優點和修改。因此,本發明 廣義上不限於特定細節和本文所示及所述的代表性裝置。 所以,可做各種修改而不悖離申請專利範圍所界定之一般 發明觀念的精神或範疇。 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員Η消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)-15 -

Claims (1)

  1. 8 8 8 8 ABCD 經濟部中央標準局貝工消费合作社印製 六、申請專利範圍 1_ —種電漿處理裝置,包括: 氣密室,至少部分由導電材料組成; 支持機構,設在該氣密室,支撑要處理的物體; 供應處理氣體到該氣密室的機構,包括: 沿著平坦線圈形成於該平坦線圈的處理氣體引導路徑 5 形成於該線圈的連通機構,連通該氣密室的內部與該 處理氣體引導路徑, 將處理氣體饋入該處理氣體引導路徑的機構,使得餹 入的處理氣體經由該連通機構進入該氣密室: 使該氣密室之導電材料接地的機構; 由平坦線圈構成的R F天線,設在該氣密室,以預定 間隙正對該支持機構所支撑之要處理的物體; 將R F功率施於該R F天線的機構,在該天線與要處 理的物體之間產生電漿,以電漿處理要處理的物體。 2. 如申請專利範圍第1項的裝置,其中該連通機構 具有多個處理氣體出口,形成於正對要處理之物體的該線 圈表面,沿著該線圈的延伸方向分布。 3. 如申請專利範圍第1項的裝置,其中該RF天線 的外和/或內周表面塗上防蝕材料,該裝置另包括冷卻機 構,設在該天線與該氣密室之間以冷卻該R F天線。 4 .如申請專利範圍第1項的裝置,另包括將電壓施 於該支持機構的機構,以在該支持機構與該氣密室之間產 生氰位。 本紙張尺i逋用中國國家梂準(CNS〉A4规格(210x297公釐〉 (請先Μ讀背面之汝意事項再填寫本頁) 裝 -* 線- ^73067 A8 B8 C8 D8 六、申請專利範固 5 ·如申請專利範圍第1項的裝置,其中該處理氣應 供應機構具有管狀體,氣體混合室,供氣管,該管狀體穿 過該氣密室,固定於該氣密室,其內界定導氣室,具有許 多環形設置的吹出孔以連通該導氣室與該氣密室的內部, 該氣體混合室設在該氣密室之外並連通該導氣室,該供氣 管供應處理氣體到該氣體混合室:該天線裝在該管狀體而 被吹出孔包圍。 6 _如申請專利範圍第1項的裝置,其中該處理氣雔 供應機構具有管狀體,氣體混合室,供氣管,該管狀體穿 過該氣密室,固定於該氣密室,其內界定導氣室,具有許 多吹出孔以連通該導氣室與該氣密室的內部,該氣體混合 室設在該氣密室之外並連通該導氣室,該供氣管供應處理 氣體到該氣體混合室;該天線位於該導氣室。 7 .如申請專利範圍第1項的裝置,另有設在該氣密 室的隔板,其中該天線置於該隔板上。 8.—種電漿處理裝置,包括: 氣密室; 支持機構,設在該氣密室,支撑要處理的物體; 供應處理氣體到該氣密室的機構; 設在該氣密室的R F天線,以預定間隙正對該支持機 構所支撑之要處理的物體; 將R F功率施於該R F天線的機構,在該天線與要處 理的物體之間產生電漿,以此電漿處理要處理的物體: 冷卻該R F天線的機構。 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐)_ — I— I I I 裝 n n 訂 線 (請先閲讀背面之泫f項再填寫本頁) 經濟部中央標準局貝工消費合作社印製 273067 A8 B8 C8 D8 夂、申請專利範圍 9 ·如申 具有平坦線圈 上且由防蝕材 1 0 .如 構具有設在該 線的空心冷卻 1 1 .如 構另包括設在 器的機構,該 有由絕緣材料 1 2 ·如 體供應機構另 方向具在預定 該氣密室連通 管。 1 3 .如 該管狀體的機 經濟部中夬揲準局貝工消费合作社印製 請專利範圍第8項的裝置,其中該R F天線 ,和形成於該平坦線圈之外和/或內周表面 料組成的保護層。 申請專利範園第9項的裝置,其中該冷卻機 R F天線之下表面下以支撑及冷卻該R F天 板,和將冷卻流體送入該冷卻板的機構。 申請專利範圍第8項的裝置,其中該冷卻機 該氣密室的氣密容器和將冷卻流體送入該容 容器包圍該RF天線,由防蝕材料組成,具 組成的部分。 申請專利範圍第8項的裝置,其中該處理氣 有設在該氣密室以包圍該R F天線且在園周 角間隔之多個氣體吹出孔的管狀體,和經由 該管狀體以將處理氣體送入該管狀體的供氣 申請專利範圍第12項的裝置,另包括移動 構,以改變與要處理之物體的距離。 種電漿處理裝置,包括: 氣密室; 支持機構,設在該氣密室,支撑要處理的物體; 供應處理氣體到該氣密室的機構; R F天線,以預定間隙正對該支持機構所支撑之要處 理的物體: 將R F功率施於R F天線的機構,在該天線與要處理 本紙張尺度適用中國國家梂準(CNS)A4规格(210x297公釐) 18 請 先 閲 面 之 注, I 旁 裝 訂 線 /3067 A8 B8 C8 D8 六、申請專利範圍 的物體之間產生電漿,以此電漿處理要處理的物體; 冷卻該R F天線的機構。 15_如申請專利範圍第14項的裝置,其中該氣密 室具有電絕綠壁部,位於要處理的物體與該R F天線之間 且支撺該R F天線。 1 6.如申請專利範圍第1 4項的裝置,其中該!^1" 天線具有設在該氣密室之外的平坦線圈,和形成於該平坦 線圈之外和/或內周表面上且由防蝕材料組成的保護曆。 (請先閱讀背面之汰意事項异填寫本頁) -a 經濟部中央標準局貝工消費合作社印製 本紙張尺度適用中國國家梂準(CNS ) A4规格(210X297公釐) -19 -
TW083109098A 1993-10-04 1994-10-01 TW273067B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP27314293A JP3050732B2 (ja) 1993-10-04 1993-10-04 プラズマ処理装置
JP27314193A JP3165941B2 (ja) 1993-10-04 1993-10-04 プラズマ処理装置及びその方法

Publications (1)

Publication Number Publication Date
TW273067B true TW273067B (zh) 1996-03-21

Family

ID=26550532

Family Applications (1)

Application Number Title Priority Date Filing Date
TW083109098A TW273067B (zh) 1993-10-04 1994-10-01

Country Status (3)

Country Link
US (2) US5683537A (zh)
KR (1) KR100270207B1 (zh)
TW (1) TW273067B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI580323B (zh) * 2010-12-03 2017-04-21 蘭姆研究公司 封入式電漿線圈組件及其操作方法

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW277139B (zh) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
TW312815B (zh) * 1995-12-15 1997-08-11 Hitachi Ltd
US6245202B1 (en) * 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6361661B2 (en) * 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6071372A (en) 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
JP3207147B2 (ja) * 1997-12-19 2001-09-10 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6165910A (en) * 1997-12-29 2000-12-26 Lam Research Corporation Self-aligned contacts for semiconductor device
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
JPH11302878A (ja) * 1998-04-21 1999-11-02 Speedfam-Ipec Co Ltd ウエハ平坦化方法,ウエハ平坦化システム及びウエハ
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6033479A (en) * 1998-04-22 2000-03-07 Applied Materials, Inc. Process gas delivery system for CVD having a cleaning subsystem
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6170496B1 (en) * 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
EP1147544A2 (en) * 1998-09-22 2001-10-24 Applied Materials, Inc. Rf plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6132575A (en) * 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6139679A (en) * 1998-10-15 2000-10-31 Applied Materials, Inc. Coil and coil feedthrough
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
TW503442B (en) * 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP4672113B2 (ja) * 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
WO2002013225A2 (en) * 2000-08-08 2002-02-14 Tokyo Electron Limited Plasma processing method and apparatus
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US7098599B2 (en) * 2000-12-27 2006-08-29 Japan Science & Technology Corporation Plasma generator
JP2002252219A (ja) * 2001-02-26 2002-09-06 Tokyo Electron Ltd 成膜装置及び成膜方法
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20050194098A1 (en) * 2003-03-24 2005-09-08 Advanced Energy Industries, Inc. Cast design for plasma chamber cooling
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US20050003673A1 (en) * 2003-07-02 2005-01-06 Omid Mahdavi Thin film resistor etch
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US9228254B2 (en) * 2006-01-12 2016-01-05 Seagate Technology Llc Cathode sputtering gas distribution apparatus
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US20070235320A1 (en) * 2006-04-06 2007-10-11 Applied Materials, Inc. Reactive sputtering chamber with gas distribution tubes
KR101150142B1 (ko) * 2006-04-06 2012-06-11 어플라이드 머티어리얼스, 인코포레이티드 대형 기판 상에 아연 산화물 투명 전도성 산화물의 반응성 스퍼터링
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7674662B2 (en) * 2006-07-19 2010-03-09 Applied Materials, Inc. Process for making thin film field effect transistors using zinc oxide
US20080078506A1 (en) * 2006-09-29 2008-04-03 Zyvex Corporation RF Coil Plasma Generation
US20080113107A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for containment shielding during pecvd deposition processes
JP4826483B2 (ja) 2007-01-19 2011-11-30 東京エレクトロン株式会社 プラズマ処理装置
US7927713B2 (en) 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
WO2009008659A2 (en) * 2007-07-11 2009-01-15 Sosul Co., Ltd. Plasma etching apparatus and method of etching wafer
US7994508B2 (en) * 2007-08-02 2011-08-09 Applied Materials, Inc. Thin film transistors using thin film semiconductor materials
US8980066B2 (en) * 2008-03-14 2015-03-17 Applied Materials, Inc. Thin film metal oxynitride semiconductors
WO2009117438A2 (en) 2008-03-20 2009-09-24 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
JP5889791B2 (ja) 2009-09-24 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ソース・ドレイン金属エッチングのためのウェットプロセスを用いた金属酸化物又は金属酸窒化物tftの製造方法
US8840763B2 (en) 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US10777387B2 (en) * 2012-09-28 2020-09-15 Semes Co., Ltd. Apparatus for treating substrate
JP6807217B2 (ja) * 2016-11-16 2021-01-06 東京エレクトロン株式会社 ステージ及び基板処理装置
US20190157048A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and method for forming semiconductor device structure

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
DE68924413T2 (de) * 1989-01-25 1996-05-02 Ibm Radiofrequenzinduktion/Mehrpolplasma-Bearbeitungsvorrichtung.
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI580323B (zh) * 2010-12-03 2017-04-21 蘭姆研究公司 封入式電漿線圈組件及其操作方法

Also Published As

Publication number Publication date
KR950012606A (ko) 1995-05-16
US5683537A (en) 1997-11-04
US6024827A (en) 2000-02-15
KR100270207B1 (ko) 2000-12-01

Similar Documents

Publication Publication Date Title
TW273067B (zh)
TW311326B (zh)
US5685942A (en) Plasma processing apparatus and method
CN100388434C (zh) 半导体处理用的基板保持结构和等离子体处理装置
KR100748372B1 (ko) 반도체 기판의 열 제어 방법 및 장치
TW392245B (en) ECR plasma generator and an ECR system using the generator
JP3150058B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR940012512A (ko) 유도 플라즈마 처리 장치
US20070284085A1 (en) Plasma processing apparatus, electrode unit, feeder member and radio frequency feeder rod
KR20020009597A (ko) 플라즈마 처리장치
JP6804392B2 (ja) プラズマ処理装置及びガスシャワーヘッド
US11862436B2 (en) Plasma processing apparatus and plasma processing method
US6850012B2 (en) Plasma processing apparatus
KR102218686B1 (ko) 플라스마 처리 장치
JP3165941B2 (ja) プラズマ処理装置及びその方法
JP2005191056A (ja) 処理装置
US20120222818A1 (en) Substrate supporting table, substrate processing apparatus, and manufacture method for semiconductor device
CN105655220B (zh) 电感耦合型等离子体处理装置
JP2003234338A (ja) 誘導結合プラズマ処理装置
TW201843695A (zh) 電漿處理裝置
JPH07106316A (ja) プラズマ処理装置
JP2000331996A (ja) プラズマ処理装置
JP3050732B2 (ja) プラズマ処理装置
JP3045443B2 (ja) プラズマ処理装置
JP3372244B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent