TW312815B - - Google Patents

Download PDF

Info

Publication number
TW312815B
TW312815B TW085114904A TW85114904A TW312815B TW 312815 B TW312815 B TW 312815B TW 085114904 A TW085114904 A TW 085114904A TW 85114904 A TW85114904 A TW 85114904A TW 312815 B TW312815 B TW 312815B
Authority
TW
Taiwan
Prior art keywords
electromagnetic wave
plasma
test piece
vacuum container
plasma processing
Prior art date
Application number
TW085114904A
Other languages
English (en)
Inventor
Keizo Suzuki
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP7326824A external-priority patent/JPH09102400A/ja
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW312815B publication Critical patent/TW312815B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

經濟部中央標準局員工消費合作社印製 A7 __B7___ 五、發明説明(l ) 發明背景 本發明係關於半導體裝置之製造裝置,特別是關於將 氣相中之原料氣體電漿化,藉由活性化之後的粒子的物理 或是化學反應而於半導體材料表面進行乾蝕工程的電漿表 面處理裝置。 於先行技術中,p去的半導體裝置也在製造工程中利 用到電漿的裝置,例如,關於利用來蝕刻的有記載於【曰 立評論,Vol. 76,No. 7,( 1 994 ) , 55-58頁】之具有磁 場之微波電漿蝕刻裝置,具有磁場之微波電漿蝕刻裝置係 利用空心線圈所產生的磁場藉由立體電路而將微波領域的 電磁波導入真空容器內將氣體電漿化。於此類裝置因爲可 以在低氣壓下得到高電漿密度,因此可以高精密度且高速 進行試片的加工。進而,例如【Appl.' Phys. Lett.,
Vol. 62,No. 13, ( 1993) ,1469-1471 頁】所記載的,爲一 種利用永久磁鐵製造局部磁場的具有磁場之微波電漿蝕刻 裝置。由於此裝置利用永久磁鐵來產生磁場,因此裝置費 用以及消耗電量都較同等級裝置低出甚多。此外,於曰本 專利特開平3 _ 1 2 2 2 9 4號公報開示之裝置則爲利用 1 0 ΟΜΗ z至1 GH z的高周波來產生電漿,而利用鏡 像磁場而以高效率進行蝕刻。進而於特開平 6-2 24 1 5 5號公報的記載,則是將1 00到5 0 0 ΜΗ z的高周波加到梳狀天線上而產生電漿,根據記載其 特色爲可在大口徑之真空室內產生均一之電漿。 此.外,專門用於加工矽之氧化膜之狹長電極平行平板 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) Γ'*衣· 訂 -4 - 經濟部中央樣準局員工消費合作社印製 ^12815 at B7 五、發明説明(2 ) 型(以下簡稱狹長電極型)之裝置業已實用化。狹長電極 型裝置係於間隔1至2公分之平行平板之間施加十幾到幾 十ΜΗ z的高周波,而產生電漿。狹長電極型裝置所使用 的原料氣體之壓力係於數十mTo r r的範圍。此狹長電 極型裝置的特徵在於可以長期間保持比較安定的氧化膜蝕 刻特性。 此外於特開平7_3 0 7 2 0 0號公報,記載著施加 3 0 ΟΜΗ z領域的高周波到長度爲導入波長1/4的放 射狀天線上。 但是,於前述藉由永久磁鐵造成局部磁場的具有磁場 之微波蝕刻裝置,由於使用複數個小型的永久磁鐵,受到 磁場領域的影響其產生的電漿的均一性很差,使用時必須 將被加工試片放置於離電漿生成區域較遠的地方,利用擴 散使電漿均一化後再加以利用。因此,於被加工物的位置 無法得到充足的電漿密度,留有無法得到足夠的加工速度 的問題。 另外,關於特開平3 - 1 2 2 2 9 4號公報或是特開 平6 — 2 24 1 5 5號公報所記載之E CR型裝置,因爲 具有磁場之微波電漿源是由試片的前方位置導入電磁波, 因此於試片的前方位置只能設置絕緣體。亦即,對被加工 試片施加高周波偏壓的場合,必須的接地電極不能設置於 被加工試片前方的理想位置,而產生偏壓施加不均的問題 〇 進.而,於狹長電極型裝置因爲使用較髙的氣體壓力, 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) IIII:---Γ1τΐ— (請先閱讀背面之注意事項再填寫本頁) 訂 5 經濟部中央標準局貝工消費合作社印製 A7 B7 五、發明説明(3 ) 特別是在0 · 2微米以下的加工精度,對被加工試片射入 的離子的方向性變得不均一,微細加工性變差,此外還因 電漿密度低而有蝕刻速度太低的問題。於另一方面, E C R型或是誘導結合型的裝置,亦即利用高密度電漿源 的裝置,因爲原料氣體過於解離,而難以控制氣相中或是 晶圓表面所發生的化學反應,而具有難以得到安定的蝕刻 特性的問題。特別是於矽的氧化膜的蝕刻,因爲是讓蝕刻 與沈稹競相進行而獲得對蝕刻的選擇比的過程,因此反應 的控制性變差的話,對於選擇比或是深孔的加工(高孔徑 深度比之加工)的性能有重大影響* 此外,如特開平6 — 2 2 4 1 5 5號公報所記載之梳 狀天線或是特開平7 — 3 0 7 2 0 0號公報所記載的放射 狀天線,與不使用天線的場合相比較,雖可提高電漿的均 —性,但還是不能得到充足的均一性。 本發明之概要說明 因此,本發明的目的在於提供低耗電量,且於加工大 面積之被加工試片時亦可產生高均一性的具有磁場之微波 電漿,且具有優異之微細加工性,可以進行高選擇比、高 孔徑深度比之加工,且可以進行高速加工處理之電漿處理 裝置》 本發明其他的目的還有提供使E C R型裝置’可以在 被加工試片的前方位置設置接地電極,而藉此容易達成高 周波偏.壓的均一化的電漿處理裝置。 本紙張尺度逋用中國國家標準(CNS ) A4規格(210X 297公釐) (請先聞讀背面之注意事項再填寫本頁) —*木- -訂 經濟部中央標準局員工消費合作社印製 Α7 Β7 五、發明説明(4 ) 上述的第1目的,係爲了生成電漿’藉由將電磁波由 電源向平面狀的導體板供給,而由導體板放射電磁波來達 成。藉由平面導電板來供給電磁波而使得於晶圓表面供應 平行方向且均一的電磁波成爲可能,因此可以得到高均一 性的電漿》 進而,供給300MHz至1GHz的UHF波帶的 電磁波時,因爲3 Ο ΟΜΗ z至1 GH z的電磁波波常在 3 0公分到8 0公分之間,與8吋至1 6吋程度的大口徑 電漿處理裝置的真空容器直徑相當,因此適於處理大直徑 的晶圓。此外,於調整蝕刻氣體的氣壓於0 · 1 1到 3 P a的範圍內時,在對蝕刻具有影響的離子指向性漸趨 —致的同時,蝕刻速度也逐漸變大,對於微細加工性特別 具有優異效果。於此壓力範圍之外,氣壓過小時,電漿密 度變小因而無法得到所需的蝕刻速度,而使用較此範圍爲 大的原料氣壓則又使得離子的指向性變亂。 而且,藉由選擇適當的導體板與蝕刻氣體,使得導體 板與蝕刻氣體反應而產生蝕刻所需的活性種,則可高效率 地得到蝕刻所需的活性種,而使反應的控制更加容易。特 別是對於此導電板重叠供應U H F帶的周波數的電壓以及 與該U H F帶的周波數不同的周波數的髙周波電壓,則施 加於導電板的偏壓便大使得導電板與反應氣體的反應性提 高,可以產生更多蝕刻反應所需的活性種。 此外,上述的第2目的是藉由於反應容器內的試片的 前方位置設置放射電磁波的天線及誘電體及接地電位導體 本紙張尺度適用中國國家梯準(CNS ) Α4規格(210X297公釐) 1_. II^ : I-^ I- (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局負工消費合作社印製 Α7 Β7 五、發明説明(5 ) 所構成的電極來達成。如此這般,因爲可以在試片的前方 位置設置接地電位,而使得晶圓得以受到均一的偏壓,其 結果可以讓晶圓的中央部與周邊部的蝕刻速度均一化。另 外,如採上述般的構造,則天線形狀不必規定爲平面狀, 採用過去的放射狀或是梳狀天線亦可。 本發明較佳之實施形態 以下參照圖式資料,詳細說明本發明之實施例。 <第1實施例> 於本實施例中,裝置之構造爲:UHF波帶電磁波係 藉由與磁場的相乘作用將氣相中所導入的原料氣體電漿化 ,進而於被加工試片的前方位置設置導體板,藉由此導體 板表面與電漿的反應,而能夠控制作用於被加工試片表面 之活性粒子的狀態。於此導體板亦可附加施加高周波電壓 而使前述的反應順利進行的機能。此導體板同時具有發射 U H F波帶電磁波的機能以及對被加工試片施加高周波電 壓的對向電極的機能。 第1圖顯示本實施例之裝置構成。於第1圖中,真空 容器101的內部空間係藉由未於圖式中標示出的真空排 氣手段而排氣至低壓力,而後藉由原料氣體導入手段 1 2 0來將原料氣體導入至指定的氣壓。另於真空容器 1 0 1的周圍配置空心線圈1 0 2。於真空容器1 0 1中 ,係藉.由UHF波帶電源1 0 4而透過同軸電路1 0 3而 本紙浪尺度適用中國國家標车(CNS ) Α4規格(210Χ297公釐) 1._. |丨---^ I-^4 —— (請先閲讀背面之注意事項再填寫本頁) 訂 線' 8 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(6 ) 對內供應5 Ο ΟΜΗ z的電磁波。 第2圖顯示UHF波帶電磁波供給部之詳細構成。導 入至真空容器內的電磁波供給至設置於接地電位的導體板 1 0 5上,介由石英所製的誘電體1 0 6而設置於附近的 石墨製圓形導體板10 7。圓形導體板1 〇 7的直徑被設 定爲可以於圓形導體板上得到電磁波共振模式的直徑。於 本實施例中,使用可以於TM1 1模式共振的直徑約1 5 公分的圓形導體板107。另外,所謂TM1 1模式,係 一種電磁波的傳搬形態,於本實施例中爲圓形導體板 1 0 7與接地電位導體板1 0 5之間所形成的電磁波的最 低次駐波分布,爲基本模式。對於圓形導體板1 0 7的 UHF波帶電磁波供給如第2圖所示,係避開圓形導體板 1 0 7的中心部來進行的。於圓形導體板1 0 7的中心位 置進行U H F波帶電磁波的供給因爲圓形導體板上的電磁 波電壓的駐波節點相當於其位置,因此不能有效率地向空 間發射電磁波。因此,於本實施例中,如第2圖所示,由 偏離圓形導體板10 7的中心位置的位置(供電點) 1 1 9供應UHF波帶電磁波,而可以得到高電磁波發射 效率。如第1圖所示般的,UHF波帶電源104的輸 出側接續著可以通過1 0 ΟΜΗ ζ以上之頻率的高通濾波 器1 0 8以及可以通過2 ΟΜΗ ζ以下的周波數波帶的低 通濾波器1 0 9。低通濾波器1 〇 9的另一端接續著接地 電位或是300kHz的高周波電源1 1 6。此外,於保 持被加工試片110的試片台111介由電容118及低 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公瘦) -----I I I I 士衣| I (請先閱讀背面之注意事項再填寫本頁) 訂 9 312815 A7 B7 五、發明説明(7 ) 通濾波器1 1 9接續著800kHz的高周波電源1 1 2 〇 經濟部中央標準局貞工消費合作社印製 (請先間讀背面之注意事項再填寫本頁) 於試片台1 1 1附設有控溫手段1 1 3,使被加工試 片1 1 0保持於固定溫度。於本實施例,被加工試片的溫 度設定於6 0°C。發射電磁波的圓形導體板1 0 7的溫度 是由接地電位導體板1 0 5所附設的控溫手段1 1 4來控 制。圓形導體板1 0 7的外周覆蓋著由氧化鋁所構成的環 1 1 5。圓形導體板1 0 7的外周部是UHF波帶電磁波 電場分布最強的位置,因此藉由此環1 1 5而防止於圓形 導體板1 0 7的外周部產生局部的電漿,而得以產生均一 的電漿。於本實施例,環1 1 5的材質係採用氧化鋁,但 只要環1 1 5係採用可以通過電磁波的材質,且於半導體 元件加工時很少發生不純物的話都好,其他如石英,氮化 矽,氮化硼,氧化鉻等材質亦可得到相同的效果。此外環 1 1 5的外圍設有接地板1 1 7 »於真空容器中藉由原料 氣體導入手段1 2 0導入原料氣體。於本實施例,原料氣 體採用C.4F 8與氬氣的混合氣體,於真空容器中導入5 到1 5mTo r r的壓力。本實施例係利用以上所述的裝 置構成而對於被加工試片110的表面形成的矽之氧化膜 進行蝕刻。 其次,說明第1圖的裝置的動作。爲產生電漿而將電 磁波由UHF帶電源1 〇 4而通過同軸電路1 0 3而供給 到石墨製的圓形導體板10 7。圓形導體板1 0 7介由誘 電體1.0 6而設置於接地電位的導體板1 0 5上,而構成 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ~ ' A7 ____B7__ 五、發明説明(8 ) microstrip電路共振器。圓形導體板1 〇 7因此共振器構 造而可於圓形導體板面髙效率的通過高周波電流,而朝向 電漿側的空間發射電磁波。如此般,由圓形導體板1 〇 7 所發射的電磁波與空心線圈1 〇 2所產生的磁場起相互作 用而將原料氣體電漿化。此時,真空容器1 〇 1內的磁場 對於5 0 ΟΜΗ z的供給電磁波而言,設定爲滿足電子 cyclotron共振(E C R )的條件的大小(1 〇 〇至 250高斯),而能高效率產生電漿。如第3圖(a)與 (b )所示,藉由UHF帶電源的電磁波而利用E CR產 生電漿的話,與先行技術使用2·45GHz的微波產生 的電漿相比較,可以提高電子密度而且可以實現較低的電 子溫度。亦即,因爲電漿中之原料氣體的解離度依存於電 子溫度,與從前使用微波的 ECR型裝置相同,可於較 低的氣壓產生 <密度的電漿》因此,過去高密度電漿源所 具有的因高解離度而導致蝕刻反應的控制性劣化的問題得 到解決。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 其次,記述電磁波周波數與E C R電漿產生效率之間 的關係。電漿的產生效率,是由荷電粒子(電子及離子) 的產生速度與損失速度的平衡而決定。首先,討論荷電粒 子的生成速度,氣體壓力爲1至數十mT 〇 r r時, E C R電漿的電漿加熱機構是以E C R現象所造成的加熱 現象以及電子衝突加熱現象所造成的加熱現象爲主體。藉 由E C R所造成的加熱效率是由E C R領域的大小來決定 ,此領域越大加熱效率越高。E C R領域的大小幾乎是以 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -11 - 經濟部中央標準局員工消费合作社印裝 S128U λ7 Β7 五、發明説明(9 ) 磁場梯度的大小以及電磁波的周波數成反比。因此, E C R現象的加熱效率因電磁波的周波數越低而有越高的 傾向。此外,由電子的衝突加熱現象所造成的加熱效率依 存於電磁波的震動電場對電子的追隨性。於從前E C R所 使用的微波領域(例如2· 45GHz),因電子的慣性 而電子無法追隨電磁波電場的震動,因此加熱效率很低。 因此,由衝突加熱現象所造成的加熱效率也會因爲使用較 低頻的電磁波而有較高的加熱效果。但是,電磁波周波數 如果過低,氣相內的粒子或是與真空容器壁等的電子衝突 所造成的能量損失也會變大,而降低加熱效率。因此,衝 突加熱現象所對電漿的加熱在本發明的U H F頻帶是最有 效率的。其次,關於荷電粒子的損失速度。於電磁波周波 數低的場合,E C R電漿的產生只需要較小的必要磁場就 夠了。但是,磁場具有將電漿封入空間而減少損失的功能 ,因此於較低的磁場,損失速度也較快,而成爲電漿的產 生效率降低的重要原因。因此,首先,在電磁波周波數低 的場合生成速度較快,雖對電漿的生成速率有效(但是對 於衝突加熱機構,如果周波數太低則有反效果),但同時 荷電粒子的損失速度也會提高,總和結果電漿的產生效率 反而下降。此現象若是以E C R電漿產生效率與電磁波周 波數之間的關係來表示的話,將如第1 6圖。電磁波周波 數低的場合,衝突加熱機構裡的電子能量損失的增大以及 因磁場強度小而減少電漿的封入效果使得電漿產生效率降 低,而.於電磁波周波數高的場合,E C R領域的減少與電 本紙張尺度適用中國國家標準(CNS )八4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 -12 - A7 __B7_ _ 五、發明説明(10 ) 子的電磁波電場震動的追從性變差而使得電漿產生效率降 低。因此,以在低氣壓亦能產生良好電漿的E C R方式, 如第1 6圖所示,在300到1000MHz的UHF頻 帶可得最高的電漿產生效率。如此,於UHF頻帶,不僅 可以得到高電漿產生效率,與從前的微波頻帶相比,只需 較小的磁場強度即可,因此可以省去需要大量電力的產生 磁場而可以大幅省電。另外,所謂高電漿產生效率是可以 在低電子溫度下維持高電漿密度,因此可以抑制原料氣體 的解離而形成電漿。 經濟部中央標準局貝工消費合作社印製 (請先閲讀背面之注意事項再填寫本黃) 其次,說明產生電漿的表面處理反應的控制法。如前 所述,本發明是以UHF頻帶的電磁波來產生E CR電漿 而實現低解離電漿。但是僅具低解離性還是不能理想的控 制矽氧化膜的蝕刻的反應種。例如利用含氟系的氣體(於 本實施例爲C 4 F 8 )來產生電漿的場合,對矽之氧化膜 之蝕刻,有用的反應種爲CF,CF2。即使是因爲低解 離而使此類反應種大量產生,但是氟原子也跟著大量產生 。這裡的氟原子在蝕刻矽的氧化膜時會成爲對矽、抗蝕劑 以及氮化膜的選擇比降低的主要原因,並不是好的蝕刻環 境。而本發明利用石墨來製造發射電磁波的圓形導體板 1 0 7,使該石墨表面與前述之氟原子反應而構成。如此 ,因爲讓石墨與氟原子發生反應而減少有害氟原子的分量 ,可以產生更多有效的CF,CF 2而對被加工試片的高 選擇比蝕刻有所助益。特別是於被加工試片110表面的 前方的圓形導體板以石墨爲材質,可以最有效果的在石墨 本紙張尺度適用中國國家梂準(CNS ) Μ規格(210X297公釐) -13 - Α7 Β7 31^815 五、發明説明(11 ) (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 表面讓石墨與氟原子發生前述反應而反映於被加工試片 1 1 0表面的蝕刻反應。藉由空心線圈1 0 2而調整磁場 強度而使得被加工試片1 1 0與圓形導體板1 0 7之間生 成E C R用的磁場,而在被加工試片1 1 〇與圓形導體板 1 0 7非常接近的狀態(於圓形導體板1 0 7的表面所能 反映出最能以高效率在被加工試片表面以上述反應的效果 進行蝕刻反應的狀態)也可以產生均一的電漿。於本實施 例,被加工試片1 1 0與圓形導體板1 0 7之間的距離爲 可變,其範圍爲2公分至3 0公分,可以視電漿的均一性 與圓形導體板1 0 7表面的反應對被加工試片表面的反應 的影響就其對立的關係而調整其間隔。發射電磁波的圓形 導體板1 0 7的溫度是遊街地電位的導體板1 0 5所附設 的溫度控制手段1 1 4所控制,而保持於一定的溫度,藉 此以維持圔形導體板1 0 7表面所發生的反應的安定化。 於本實施例中,圓形導體板1 0 7介由低通濾波器1 0 9 而接地。藉此,對試片台111所施加的800kHz的 高周波而言,圓形導體板1 0 7可以當作接地電極,而使 對被加工試片1 1 0所施加的偏壓得以均一化。本實施例 的圓形導體板1 0 7雖是以石墨爲材質,但若使用矽’亦 有消耗氟原子的效果,而同樣可以得到控制反應的效果。 於本實施例,爲了蝕刻矽之氧化膜而以C 4 F 8爲主氣體 而再添加氧氣作爲原料氣體,此外,主氣體亦可使用 CF4,C2F6,CHF3,CH2F2,CH3F 等 氣體&不待言。此外添加氣體除前述氧氣外’還可以使用 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -14 - 經濟部中央標準局員工消費合作社印製 A7 __B7_ 五、發明説明(12 ) 氫氣,C 0,稀有氣體等亦可得到同樣的效果。 本實施例中,UHF波帶電磁波雖使用周波數爲 500MHz的電磁波,但使用300MHz到1GHz 的任意周波數的電磁波,亦可得到如第3圖(a )和(b )相同的效果。此外,300MHz到1GHz 的電磁 波波長約爲3 0公分到8 0公分,與'直徑8吋以上特別是 12吋以上的晶圓用大口徑電漿處理裝置的真空容器徑大 小程度相同,適宜作爲大尺寸晶圓的表面處理。此外,本 實施例的裝置構成,在真空容器內不容易發生伴隨著電漿 的不安定性和不均一性的高次駐波,而且產生電漿所需要 的磁場也比從前使用微波的場合還小。如此,以UHF頻 帶的電磁波作爲周波數範圍而產生電漿的話,可以低成本 實現適合加工大尺寸晶圓的電漿處理裝置。亦即,於本發 明,產生電漿所用的UHF頻帶的電磁波其周波數範圍爲 300MHz 到 1GHz。 此外,於本實施例,對被加工試片1 1 0所施加的高 周波電壓的周波數爲800kHz ,但使用從100 kH z到2 0 ΜΗ z的範圍內的任意周波數亦可得到同 樣的效果。 此外,於本實施例,介於圓形導體板1 0 7和接地電 位導體板1 0 5之間的誘電體1 0 6爲石英製,但使用其 他如氧化鋁,氮化矽,氮化硼,碳化矽,氧化鉻,pyeex 玻璃,鐵氟龍等材質亦可得到相同的效果。 此外,於本實施例中雖只述及蝕刻矽之氧化膜的場合 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) .装· 訂 -15 - A7 B7 五、發明説明(13 ) ’但圓形導體板1 〇 7使用矽、石墨、鋁、或是不鏽鋼之 任一種類材料,進而使用氯氣系的氣體作爲原料氣體的話 ,亦可用於蝕刻鋁、矽以及鎢等材料。 經濟部中央標準局貝工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 而且,於本實施例中,係利用配置於試片1 1 0表面 的前方位置的圓形導體板1 0 7表面的反應而控制活性粒 子種’但由接觸電漿的真空容器器壁面部份有5 0%以上 以相同材質所構成的話,也可以如前述般控制活性粒子種 。此時,容器壁上設置高周波電壓施加手段以及溫度控制 手段’可以高精度控制活性粒子種。於此場合.,施加於容 器壁的高周波電壓與施加於被加工試片的高周波電壓相同 ,在100kHz到20MHz的周波數範圍可以適當加 速離子促進反應。但是施加於被加工試片1 1 0上的高周 波電壓的周波數若是未達2倍以上,濾波器的設計困難, 而且會影響到雙方的電源。也就是說,例如施加於被加工 試片110的第1高周波電壓的周波數爲800kHz的 話,施加於容器壁的第2高周波電壓的周波數最好設在前 述第1高周波電壓的周波數的1/2以下例如3 0 0 k Η z。此種周波數選定的考量,在對前述圓形導體板 1 0 7施加U H F頻帶電磁波的同時施加高周波電壓的場 合也相同,高周波電源1 1 6的周波數範圍在1 0 〇 kH ζ到2 ΟΜΗ ζ的範圍內,且對被加工試片所施加的 高周波電壓的周波數亦必須離開2倍以上。 於本實施例,於圓形導體板1 0 7上形成如圖4 ( a )、(.b)所示般形成長縫121、122’利用由這些 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -16 - A7 B7 五、發明説明(Ϊ4 ) 長縫所發射的電磁波來產生電漿之構成也具有同樣的效果 ’而且,透過對這些長縫的大小或是數目的最適化,可以 得到更均一的電漿。 <第2實施例> 於第5圖顯示第2實施例之構成•於本實施例,對於 先前之第1實施例之中作爲發射電磁波之天線用的圓形導 體板的電磁波供給方法加以改良,而具有使由圓形導體板 所發射的電磁波爲能夠有效產生電槳的圓偏波的特徵。因 爲本實施例之裝置之構成與前述第1實施例之裝置構成大 致相同,因而在此僅就電磁波供給部加以說明。 於真空容器2 0 1中,由UHF頻帶電源2 0 5介由 同軸電路2 0 2而供給5 Ο ΟΜΗζ之電磁波。被導入真 空容器內之電磁波介由位於接地電位的導體板2 0 6之上 之誘電體207、207’ 、207’ ’以及接績於溫度 經濟部中央標準局員工消费合作社印製 (請先閲讀背面之注意事項再填寫本頁) 控制部的接地電位的導體板206’而供給至石墨製圓形 導體板2 0 8上。對於圓形導體板2 0 8之電磁波供給如 第6圖所示,係將由同軸電路2 0 2來的電磁波分割爲 203、203’兩個系統的通路,而且一方的通路 2 0 3僅較他方之通路2 0 3’長1/4個波長’而由圓 形導體板208上的2點(供電點)204、204’來 供電。如此,藉助將電磁波通路的長度做成具有1 /4個 波長的差,而可以使供給至圓形導體板2 0 8之電磁波之 位相相差9 0度。相差9 0度位相的電磁波在圓形導體板 本紙張尺度適用中國國家標準(CNS ) Α4規格(2〖0Χ297公釐) -17 - 經濟部中央標準局貞工消費合作社印製 A7 __B7_ 五、發明説明(15 ) 上合成而形成旋轉電磁場,成爲更能有效率產生電漿的圓 偏波而由圓形導體板2 0 8向容器內空間發射。與第1圖 的實施例相同,圓形導體板2 0 8的直徑,設定爲該圓形 導體板2 0 8上的電磁波的共振模式所得之直徑。本實施 例與第1圖的實施例相同,使用可以產生TM11模式的 共振的直徑約15公分的圓形石墨板。 另外,本實施例裝置其他的動作與詳細構成與第1圖 的實施例相同。 <第3實施例> 於第7圖顯示第3實施例之裝置構成。本實施例中, 與先前2個實施例的不同點主要在於爲產生電漿的電磁波 發射方法。於本實施例中,與第1圖之第1實施例相同, 在真空容器3 0 1的周圔設置空心線圈3 0 2,於真空容 器3 0 1內,由UHF頻帶電源3 0 4介由同軸電路 3 0 3而供給5 Ο ΟΜΗ z的電磁波。被導入真空容器 3 0 1的電磁波,通過設置於接地電位的導體板3 0 5上 介由石英製誘電體板3 0 6、3 0 6’所設置的微線路 3 0 7而供給至成圓周狀配置於其周圍之電磁波發射天線 。此外,於誘電體板306’上,接地電位的石墨製圓形 導體板3 0 9設置於其中央部。第8圖係發射電磁波之天 線3 0之詳細構造。同軸電路3 0 3的外導體接續於接地 電位的導體板3 0 5,內導體(蕊線)則接續於供電點 3 1 1·之4條微線路307。此4條微線路307分別接 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) -装· 訂 -18 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(16 ) 續於成圓周狀配置於其周圍被分割爲4部份之電磁波發射 天線之各部份。電磁波發射天線3 0 8之各部分的長度被 設定爲供給電磁波之1/2波長(誘電體板3 0 6內之波 長)的整數倍。於本實施例中各天線部份的長度被設定爲 相當於1/2波長的1 5公分。同軸電路3 0 3與各天線 部份之間所接續的4條微線路的長度分別相差1/4波長 。藉此,對各天線部份分別供給相位差爲9 0度之電磁波 ,由各天線部份所反射回來的電磁波在供電點311相互 抵消。此外,由各天線部份所發射之電磁波所合成之電場 爲旋轉電場,該電場與空心線圈3 0 2所給之磁場起相互 作用而提高電漿之產生效率。 本實施例中,石墨製之圓形導體板3 0 9具有與第1 圖之實施例的反應控制機能以及施加於被加工試片3 1 0 之高周波電壓之接地電極相同的功能。關於這些反應控制 機能以及作爲接地電極之功能,與第1圖所作之說明相同 。但是,因爲本實施例中石墨製之圓形導體板3 0 9並無 需要由其自身發射電磁波,且溫度控制機構與氣體供應機 構很容易直接於其上製作,具有可以提高於該圓形導體板 表面上所發生之反應之安定性。此外,與第1圖相同,此 圓形導體板3 0 9不用石墨而以矽代之,亦可同樣控制反 應。而且與第1圖的實施例相同,於圓形導體板上施加 1 0 0 kH z到2 ΟΜΗ z的高周波電壓作爲偏壓電壓, 由於其偏壓效果可以控制圓形導體板表面上的反應量以及 反應機構。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) -装. 訂 A7 B7 312815 五、發明説明(17 ) 於本實施例,由於試片3 1 0的表面的前方所配置的 圓形導體板3 0 9的表面上所發生的反應而進行活性粒子 種的控制,接觸電漿的真空容器內壁面若有5 0%以上的 部份以相同材質來製作的話,亦可控制活性粒子種的產生 。此時,上述內壁面的部份藉由附設偏壓施加手段以及溫 度控制手段,可以精密控制活性粒子種。 另外,上述的微線路通常是在接地電位的導體板上介 由薄膜狀的導體線路的形成而輸送高周波電力。於本實施 例,使用微線路供應電磁波至各天線部份。 <第4實施例> 於第9圖顯示第4實施例之裝置構成。本實施例中與 先前第1實施例之不同點在於使用永久磁鐵來產生磁場。 於圓筒狀真空容器4 0 1的軸向上部外側,設置有直徑爲 3 0公分,厚度1 0公分,中心部的表面磁束密度爲 1 0 0 0高斯的永久磁鐵4 0 2。永久磁鐵4 0 2於圓筒 狀真空容器4 0 1的軸方向(上下方向)爲移動可能,而 具有藉由移動該永久磁鐵4 0 2在軸方向上的位置而調整 真空容器4 0 1內的磁場分布的構造。永久磁鐵4 0 2的 中央部設有直徑約4公分的軸方向貫通孔,通過該貫通孔 藉由同軸電路4 0 3而供應5 0 ΟΜΗ z的電磁波進入真 空容器4 0 1內。圓筒狀真空容器4 0 1的外周設有空心 線圈4 0 4,其構造爲藉由空心線圈4 0 4所產生的磁場 來控制永久磁鐵4 0 2所形成之磁場分布。而導入真空容 本紙張尺度適用中國國家揉準(CNS > A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) .装. 訂 經濟部中央標準局員工消費合作社印製 -20 - A7 B7 經濟部中央標準局員工消费合作社印製 五、 發明説明(18 ) 1 器 4 〇 1內的 同 軸 電 線 4 0 3 > 其 外 導 體 接 續 於 平 板 狀 接 1 1 地 電極 4 0 5 而 其 內 導 體 ( 線 ) 接 續 於 平 板 狀 接 地 電 1 1 極 4 〇 5附近 而 平 行 配 置 之 放 射 狀 狹 縫 線 4 0 6 之 中 心 點 N 1 I ( 供電 點)4 1 2 〇 同 軸 電 線 的 另 — 端 由 未 標 於 圖 示 中 之 請 先 閲 電 磁波 發震器 介 由 導 波 管 4 1 4 以 及 同 軸 變 換 器 4 1 3 而 讀 背 1 .1 供 給電 磁波電 力 0 素 .1 1 於 第1 0 圖 > 顯 示 放 射 狀 狹 縫 線 4 0 6 部 份 的 詳 細 構 項 I 造 再 1 1 。於 本實施 例 1 4 條 狹 縫 線 4 0 6 由 中 心 點 ( 供 電 點 ) 填 寫 本 装 4 12 起,以 等 角 度 之 分 配 成 放 射 狀 配 置 0 放 射 狀 狹 縫 線 頁 '--- 1 I 4 0 6 其全體 由 石 英 玻 璃 所 包 覆 〇 ί I 於 第9圖 中 真 空 容 器 4 0 1 內 設 有 試 片 台 4 0 9 > 1 1 I 於 試片 台4 0 9 上 附 設 有 試 片 溫 度 控 制 稷稱 以 及 高 周 波 偏 1 訂 1 壓 施加 手段4 1 1 〇 此 外 於 試 片 台 4 0 9 上 載 置 著 被 加 1 工 試片 (直徑 2 0 公 分 的 晶 圓 ) 4 0 8 〇 由 同 軸 電 線 1 4 0 3 對供電 點 4 1 2 所 供 應 的 電 磁 波 在 放 射 狀 狹 縫 線 1 1 4 0 6 與平板 狀 接 地 電 極 4 0 5 之 間 傳 播 的 同 時 向 被 加 ’線 1 工 試片 4 0 8 的 方 向 發 射 0 藉 此 真 空 容 器 內 可 以 在 寬 廣 1 I 的 範圍 內得到 均 一 的 電 磁 波 放 射 1 因 而 可 以 產 生 高 均 —~ 之 1 | 電 漿。 Ί I 其 次,說 明 第 9 (ΞΙ 圖 的 裝 置 的 動 作 〇 永 久 磁 鐵 4 0 2 與 - 1 空 心線 圈4 0 4 所 產 生 之 真 空 容 器 4 0 1 內 的 被 加 工 試 片 1 1 4 0 8 的上部 附 近 產 生 的 E S R 磁 場 ( 因 爲 所 用 的 電 磁 波 1 1 爲 5 0 0 Μ Η Ζ 所 以 磁 場 約 有 1 7 8 髙 斯 ) 0 此 磁 場 主 要 I 是 由永 久磁鐵 4 0 2 所 產 生 空 心 線 聞 4 0 4 所 產 生 的 磁 1 1 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 經濟部中央標準局員工消费合作社印製 A7 ___B7_ 五、發明説明(19 ) 場將急速發散的永久磁鐵的磁束聚集而具有輔助的地位。 亦即,空心線圈4 0 4的勵磁電流不必太大。藉由同軸電 線而向供電點4 1 2供給的電磁波,沿著4條放射狀的狹 縫線成放射狀傳播,同時發射到試片4 0 8上方的空間內 。此時放射狀狹縫線4 0 6的各個長度爲使用電磁波的半 波長的整數倍加減百分之二十的範圍內的長度,以實現高 效率電磁波傳搬以及發射。此放射狀狹縫線來的發射電磁 波與前述之磁場相互作用,而使導入真空容器4 0 1內的 原料氣體得以高效率電漿化。如此,因爲真空容器內的電 磁波發射係透過放射狀狹縫線4 0 6來進行,可以因應狹 縫線4 0 6的長度而對大口徑真空容器發射均一的電磁波 ,可以產生大口徑、高均一的電漿。另外,於本實施例, 因爲是由永久磁鐵來產生主磁場,所以在先行技術中因電 磁鐵(空心線圈)所產生的耗電量大的問題大幅降低。此 外,因爲使用大口徑的永久磁鐵,於產生電漿時,在被加 工試片表面附近可以產生E CR現象,而且,可以實現由 電磁波導入位置到E C R位置的限定空間區域內的微波電 力因離子及自由基密度夠高而得以吸收之。另外,高周波 偏壓施加手段4 1 1對被加工試片4 0 8施加高周波偏壓 ,使得電漿中的離子被加速而射入被加工試片4 0 8內。 此處,因爲電漿與試片4 0 8表面的前方位置所設置的平 板狀接地電極4 0 5相接觸的關係’於從前的裝置上常見 的高周波偏壓在試片表面內不均—的問題得以解消。可進 行高均一的電漿表面處理。 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公釐) I----—--:--Ύ------1T------線、 { · f (請先閱讀背面之注意事項再填寫本頁) -22 - A7 ____B7 五、發明説明(20 ) <第5實施例> 於第1 1圖顯示第5實施例之裝置構成。本實施例之 裝置幾乎與第4實施例完全相同。亦即,於第1 1圖,圓 筒狀真空容器5 Ο 1的軸方向上方設有永久磁鐵5 0 2, 此永久磁鐵產生於真空容器內產生電漿所需之主磁場。設 置於圓筒狀真空容器5 Ο 1外周的空心線圈5 0 4是爲了 控制由永久磁鐵5 0 2所產生的磁場分布用的輔助磁場發 生手段。此外,產生電漿用的電磁波是由圖示中未標示的 電磁波發振器透過導波管5 1 4,同軸變換器5 1 3還有 同軸電線5 0 3而供給至放射狀狹縫線5 0 6,由該處向 圓筒狀真空容器5 Ο 1內發射。放射狀狹縫線5 0 6係於 平板狀接地電極5 0 5上介由石英玻璃5 0 7而設置。此 外與先前第4實施例所示相同,對於被加工試片5 0 8的 高周波施加手段以及試片的溫度控制(冷卻)機構附設於 試片台(509),但於第11圖中予以省略。 經濟部中央揉準局貝工消費合作社印裝 (請先閱讀背面之注意事項再填寫本頁) 於本實施例中,相對於第4實施例,放射狀狹縫線 5 0 6的中央部(供電點)5 1 2的附近的被加工試片 5 0 8側,設置有圓盤狀導體板5 1 5而防止電磁波向容 器內的中央部的集中,而使產生的電漿具有較好的均一性 。一般於真空容器內生成的電漿會與容器壁反應而生消滅 作用,真空容器內部沿半徑方向周邊部密度較低,中央部 的密度較高。因此於本實施例中放射狀的狹縫線5 0 6的 真空容器5 0 1的半徑方向中央部的位置部份具有發射電 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 一~~ 一 23 - 經濟部中央標準局員工消费合作社印製 A7 ____B7 五、發明説明(2i) 磁波之圓盤狀導體板5 1 5,藉由其抑制而得以實現產生 電漿的均一化。而且,圓盤狀導體板5 1 5若設爲接地電 位的話,則與先前第1至第4實施例的場合相同,圓盤狀 導體板5 1 5對被加工試片5 0 8施加高周波偏壓的緣故 ’而具有接地電極的功能,進而,與先前實施例所示相同 ,圓盤狀導體板515使用石墨等材料而可以控制反應控 制機能。 <第6實施例> 於第1 2圖顯示第6實施例之裝置構成,本裝置與第 4實施例之裝置幾乎完全相同。亦即於第1 2圖,圓筒狀 真空容器6 0 1的軸方向上方設有永久磁鐵6 0 2,此永 久磁鐵產生用於製造電漿的主磁場。圓筒狀真空容器 6 0 1的外周部設有空心線圈6 0 4,係用來控制永久磁 鐵6 0 2所形成之磁場之磁場分布。此外產生電漿用的電 磁波係由圖中未標示的電磁波發振器透過導波管6 1 4, 同軸變換器6 1 3以及同軸電線6 0 3而供給到放射狀的 細縫線6 0 6,由該處導入真空容器6 0 1的內部空間 6 1 6。放射狀細縫線6 0 6係與平板狀接地電極6 0 5 以微小的間隔而平行設置。與第4實施例相同,被加工試 片6 0 8的高周波偏壓施加手段以及試片溫度控制機構都 附設於試片台6 0 9,第1 2圖因爲簡化而未將之標出。 本實施例與先前實施例不同之處在於放射狀狹縫線 6 0 6.,由接地電極6 0 5所構成的電磁波放射部設置於 本紙張尺度適用中國國家梯準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 裳· 訂 經濟部中央標準局貝工消費合作社印製 312815 A7 B7 五、發明説明(22 ) 真空外。亦即,本實施例的場合,接地電極6 〇 5等電磁 波發射部份的設置空間(大氣壓下)6 1 5是由具有氣密 性的石英窗分隔爲真空容器6 0 1的內部空間,電磁波供 應部(同軸電線)6 0 3由真空容器外導入真空容器內。 由放射狀狹縫線6 0 6所發射的電磁波,透過石英窗口 6 0 7而導入真空容器6 0 1的內部空間6 1 6。與先前 的實施例相比,特別因爲不需要將無須真空密封手段,因 此真空容器6 0 1的製作與維持變得容易了。 但在另一方面,本實施例在試片6 0 8的表面的前方 位置不能夠設置接地電極》另外,本實施例將電磁波發射 部設於真空室外的方式並不限於第9圖所示的第4實施例 ,對於第1圖的第1實施例,第5圖的第2實施例,以及 第7圖的第3實施例也同樣適用。 <第7實施例> 於第1 3圖顯示第7實施例之裝置構成。本實施例係 改良第6實施例而克服齊不利點而得的。亦即本實施例之 構成與第6實施例幾乎完全相同。亦即於第1 3圖,圓筒 狀真空容器7 0 1的軸方向上方設有永久磁鐵7 0 2 ,此 永久磁鐵產生用於製造電漿的主磁場。圓筒狀真空容器 7 0 1的外周部設有空心線圈7 0 4,係用來控制永久磁 鐵7 0 2所形成之磁場之磁場分布。此外產生電漿用的電 磁波係由圖中未標示的電磁波發振器透過導波管7 1 4, 同軸變換器7 1 3以及同軸電線7 0 3而供給到放射狀的 本紙張尺度適用中國國家標準(CNS )八4規格(210X297公釐) ----------装-- . < (請先閲讀背面之注意事項再填寫本頁) 、? 線 經濟部中央標準局負工消费合作社印製 A7 ________B7五、發明説明(23 ) 細縫線7 0 6 ’由放射狀的細縫線7 〇 6 一度發射至大氣 壓空間7 1 5內。放射狀細縫線7 〇 6係與平板狀接地電 極7 0 5以指定的間隔而平行設置。上述發射至大氣壓空 間7 1 5內的電波,通過真空間隔.壁(石英窗)7 〇 7而 導入至真空容器7 0 1的內部空間7 1 6內。此外,與第 4實施例相同’被加工試片7 0 8的高周波偏壓施加手段 以及試片溫度控制機構都附設於試片台7 〇 9 ,第1 2圖 因爲簡化而未將之檩出。 於本實施例,石英窗7 0 7的真空容器內部空間 7 1 6側,沿著放射狀細縫線7 0 6設置有具有放射狀細 縫線7 0 6的寬度的3倍的開口部7 1 8的接地電位導體 7 1 7。亦即由放射狀細縫線7 0 6所發射而透過石英窗 7 0 7的電磁波,通過上述開口部而導入真空容器內部空 間7 1 6內。由此構成,上述接地電位導體7 1 7亦可作 爲設置於被加工試片7 0 8的表面的前方位置的接地電極 (施加於試片7 0 8的高周波電壓的接地電極)的機能。 此外與前述實施例相同,此接地電位導體7 1 7以石墨等 材質構成,可以如前所述具有反應控制機能。於本實施例 ,接地電位導體717的開口部718雖被設定爲放射狀 細縫線706的線幅的300%,但在100%到500 %的範圍內任意的開口幅亦可達到相同的效果。 <第8實施例> 於第1 4圖中顯示第8實施例之裝置構成。本實施例 • I In I—-I I - In (請先閱讀背面之注意事項再填寫本頁) :装. 訂 本紙張尺度適用中國國家榇準(CNS ) A4規格(210X297公釐) 經濟部中央橾準局員工消費合作社印製 312815 A7 B7 五、發明説明(24) ,係第4實施例之改良變形例,裝置之基本構成與第4實 施例幾乎完全相同。圓筒狀真空容器8 0 1的外圍設有空 心線圈8 0 4,藉由該空心線圈8 0 4在真空容器8 0 1 的內空間形成產生電漿用之磁場。產生電漿用之電磁波由 電磁波發生源8 0 0經由同軸電線8 0 3而供給電磁波發 射天線806,而對真空容器801內之空間發射。被加 工試片8 0 8載置於試片台8 0 9上,由高周波偏壓施加 手段8 1 1對其施加高周波偏壓。電漿生成用的原料氣體 係經由氣體導入管向真空容器8 0 1內導入。 於本實施例中,爲提高電磁波發射天線8 0 6之成放 射狀配置之各天線部分的電磁波發射效率,而對天線構造 加以改良》亦即,於本實施例中,接續於供給電磁波用的 同軸電線8 0 3的外導體的接地電位之導電體板8 0 5上 ,設置有3跟直線狀狹縫線狀的天線部分所構成的電磁波 發射天線8 0 6。此外,本發明中之天線數爲3根,實際 運用上5根以上只要是奇數都可以。複數根直線狀天線交 叉設置時,若在各天線部分的中央部以外的地方相交的話 ,天線部分的數目若非奇數無法均等發射電磁波。電磁波 發射天線8 0 6上覆蓋著石英玻璃8 0 7。此處,各天線 部分上的電磁波電流,以電壓分布的節點位置以外的點爲 交點,3根直線狀的天線部分交錯設置,前述交點作爲來 自同軸電線8 0 3的電磁波供電點8 1 2。藉由將供電點 設於此位置,而使得供給電磁波用的同軸電線8 0 3與各 天線部分間的電磁波的傳送效率提高,而可供給高效率之 __ · 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ------:--:I-------ir------^ - ( I (請先閲讀背面之注意事項再填寫本頁) 經濟部中央橾準局貝工消费合作社印製 A7 _______B7__ 五、發明説明(25 ) 電磁波。 <第9實施例> 圖1 5 ( a )與(b )說明本發明藉由電漿處理裝置 而加工積體電路的加工例》圖1 5 ( a )顯示藉由矽之氧 化膜之蝕刻加工而加工自己校正接觸加工之一例,於矽基 板9 0 5上形成多晶矽電極9 0 5以及氮化矽膜9 0 3, 於其上生成氧化矽膜9 0 2以作爲絕緣膜,而於該氧化矽 膜9 0 2上使用防蝕劑罩9 0 1而進行蝕刻之例》此外於 圖15 (b)則爲接觸加工之另一例。於矽基板904上 形成記憶體胞9 0 6,於其上設有氧化矽膜9 0 2作爲絕 緣膜,此氧化矽膜利用防蝕罩9 01而進行蝕刻加工》以 上兩個加工例都需要0·3以下的孔徑,以高孔徑孔 深比來以高加工速度及高加工選擇性來進行接觸孔加工。 另外,這裡的高加工選擇性意爲僅對加工對象的氧化矽膜 進行選擇性的高速加工,其他成分則幾乎完全不被加工, 圖15 (a)的場合氮化矽或是矽不被侵蝕,圖15 (b )的場合爲矽不被侵蝕。從前的電漿處理裝置爲得到較高 的加工速度而提高電漿密度,原料氣體的解離進行太過, 不容易獲得前述之高加工選擇性。關於此點,本發明裝置 在高電漿密度時電子溫度仍低,可以抑制原料氣體的過度 解離,而可得到髙加工選擇性。進而’對真空容器壁’電 磁波發射天線或是被加工試片對面位置所配置的電極施加 髙周波電壓,利用這些髙周波電壓施加部位表面所進行的 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) .装- 訂 -28 - 經濟部中央標準局負工消費合作社印装 A7 __ B7 五、發明説明(26) 反應可以附加抑制自由基的控制機能,同時可以達到提高 加工速度還有提高加工選擇性的兩個對立的目標。 以上說明了本發明的種種實施例’但本發明並不限定 於前述之實施例。例如實施例中提到的永久磁鐵其中央部 的表面磁束密度爲1 0 〇 〇高斯’但是可以使用從2 0 0 到5 0 0 0高斯範圍內任意的表面磁束密度的永久磁鐵。 此外所用的永久磁鐵的直徑可以自行適當設定以實現自己 所希望的磁場分布。 於前述第4到第8實施例’永久磁鐵都是使用直徑 3 0公分,厚度1 0公分的磁鐵’使用被加工試片直徑相 同大小或是被加工試片直徑的7 0%到1 5 0%的直徑, 厚度上選用被加工試片徑的1 〇%到1 〇 0%的厚度的磁 鐵,可以得到最有效率的磁場分布。特別是永久磁鐵的口 徑較試片爲大,且其厚度越接近前述之口徑越能得到理想 的磁場分布》另外不一定要使用單一個永久磁鐵,亦可使 用數個小型磁鐵密集排列而於上下方向等價磁化的大口徑 永久磁鐵。此一場合個別的小型磁鐵若能作成上下方向可 以移動的構造,則可以調節磁場的面內分布。 此外於第3到第7實施例’放射狀的狹縫線是由4個 元件所構成的,3到2 0個元件數也有同樣的效果。此外 ,同樣的於第8實施例所示的放射狀狹縫線以3個元素來 構成,而只要是3個以上的奇數個元件都能得到相同的機 能。 此.外,於前述之實施例中’磁場的形成是利用空心線 (請先閱讀背面之注意事項再填寫本頁) •装. 訂 線 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -29 - A7 B7 五、發明説明(27 ) 圈或是空心線圈與永久磁鐵的組合來使用。但是在任一實 施例中只用空心線圈或是只用永久磁鐵或是組合使用都可 〇 此外,第5到第8實施例只說明狹縫線成放射狀配置 ,而直線狀的狹縫線成平行複數配置對於各狹縫線供給電 力亦可得到同樣的效果。 如以上詳述之內容,根據本發明可以大幅減少E C R 型電漿發生裝置的電磁鐵耗電量,而且能對試片表面提供 高密度的離子與自由基。此外,可以在被加工試片的前方 設置接地電極,可以達成高周波偏壓的均一化。 而且,藉由UHF頻帶的電磁波的E CR產生電漿不 只可以在低氣體壓力的情況下形成高密度的電漿,而且在 高密度電漿的狀態下實現低解離之氣相狀態,此外,利用 電磁波發射用的平板狀電極表面的反應可以控制入射至被 加工試片的活性粒子種。因此,可以在0 · 2 /zm以下的 超精密加工,對於高生產力、高選擇比、高孔徑孔深比加 工等要求可以同時滿足,而且可以長期間維持安定的蝕刻 特性。 圖式之簡單說明 第1圖,係本發明第1實施例之電漿處理裝置之概略 構成圖。 第2圖,係上述第1實施例之電磁波發射部之構成說 明圖。, (請先閱讀背面之注意事項再填寫本頁) 裝· 訂 經濟部中央標準局貝工消费合作社印製 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) -30 - A7 B7 經濟部中央標準局貝工消費合作社印製 五、發明説明 [28 ) 1 第3 圖 之 ( a ) 與 ( b ) 係 U Η F 波 帶 電 磁 波 與 傳 1 1 統微波之 E C R 電 漿 之 特 性 圖 〇 1 | 第4 圖 之 ( a ) 與 ( b ) 係 第 1 實 施 例 於 圓 形 導 體 1 I 板上產生 狹 縫 的 場 合 的 構 成 圖 〇 請 1 閱 | 第5 圖 » 係 本 發 明 第 2 實 施 例 之 電 槳 處 理 裝 置 之 概 略 讀 背 1 構成圖。 之 注 I 意 I 第6 圖 i 係 上 述 第 2 實 施 例 之 電 磁 波 發 射 部 之 構 成 說 事 項 I 再 I 明圖》 填 寫 本 装 1 第7 圖 係 本 發 明 第 3 實 施 例 之 電 漿 處 理 裝 置 之 概 略 頁 1 1 構成圖。 1 | 第8 rsi 圖 係 上 述 第 3 實 施 例 之 電 磁 波 發 射 部 之 構 成 說 1 I '明圖。 1 訂 I 第9 圖 係 本 發 明 第 4 實 施 例 之 電 漿 處 理 裝 置 之 概 略 ' 1 1 構成圖。 • 1 第1 0 圖 係 上 述 第 4 實 施 例 之 電 磁 波 發 射 部 之 構 成 1 1 說明圖》 一線 1 第1 1 圖 » 係 本 發 明 第 5 實 施 例 之 電 漿 處 理 裝 置 之 概 1 I 略構成圖 〇 1 第1 2 圖 > 係 本 發 明 第 6 實 施 例 之 電 漿 處 理 裝 置 之 概 Ί 1 略構成圖 〇 1 1 1 第1 3 圖 係 本 發 明 第 7 實 施 例 之 電 漿 處 理 裝 置 之 概 1 1 略構成圖 〇 1 1 第1 4 圖 » 係 本 發 明 第 8 實 施 例 之 電 漿 處 理 裝 置 之 概 1 略構成圖 0 1 1 本紙張尺度適用中國國家榡準(CNS ) A4規格(210X297公釐)01 -31 - A7 B7 五、發明説明(29 ) 第15圖之(a)與(b) ’係第9實施例之加工例 說明圖。 第1 6圖,係電磁波周波數與電漿產生效率之關係之 示意圖。 (請先閱讀背面之注意事項再填寫本頁) 、-° Γ 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家梂準(CNS ) A4规格(210X297公釐)

Claims (1)

  1. ^12815 h D8 六、申請專利範圍 1 .—種電漿處理裝置,其特徵爲:該裝置係包含: 真空容器:及 將該真空容器內部空間進行真空.排氣之手段;及 將反應氣體導入前述真空容器內之手段;及 設於前述真空容器內而載置被加工試片用的試片台: 及 設於前述真空容器內於前述真空容器內部空間內產生 電漿的發射電磁波用的平面板。 2 .如申請專利範圍第1項之電漿處理裝置,其中, 前述的平面板,係設置於可與前述真空容器內部空間內所 產生的電漿接觸的位置。 3.如申請專利範圍第1或2項之電漿處理裝置,其 中,前述的平面板,係設置於載置於前述試片台上的前述 被加工試片的表面的前方β 經濟部中央揉準局貝工消费合作社印製 (請先閲讀背面之注意事項再填寫本頁) -簦 ’4 .如申請專利範圍第1或2項之電漿處理裝置,其 中,還包含了設置於前述真空容器之外,與由前述平面板 對前述真空容器的內部空間發射的前述電磁波起相乘作用 而產生爲產生前述電漿的磁場的形成手段》 5.如申請專利範圍第1或2項之電漿處理裝置,其 中,於前述的平面板上施加3 Ο ΟΜΗ ζ到1 GH ζ的周 波數範圍內的第1高周波電壓。 6 .如申請專利範圍第5項之電漿處理裝置,其中, 於前述的平面板上施加與前述第1高周波電壓相重叠的第 2髙周·波電壓》 本紙張尺度適用中國國家標準(CNS ) Α4規格(2丨0Χ297公釐〉 -33 - 經濟部中央標準局貝工消費合作社印製 Α8 Β8 C8 D8 々、申請專利範圍 7 .如申請專利範圍第6項之電漿處理裝置,其中, 第2高周波電壓的周波數係設定爲前述第1高茼波電壓的 周波數的1/2以下。 ’ 8 ·如申請專利範圍第1、2、6或7項之電漿處理 裝置,其中,於前述平面板的前述與電漿相接觸的面的周 邊部設#讓前述電磁波通過的物質· 9 .如申請專利範圍第8項之電漿處理裝置,其中, 前述可讓電磁波通過的物質係由氧化鋁,石英、氮化矽、 氮化硼、或是氧化鉻所構成。 1 0 .如申請專利範圍第1、2、6、7或9項之電 漿處理裝置,其中,含有於前述試片台上載置的前述被加 工試片與前述平面板之間的距離爲可變之手段。 1 1 .如申請專利範圍第1、2、6、7或9項之電 漿處理裝置,其中,由前述平面板對前述真空容器內'部空 間發射的電磁波爲圓偏波。 1 2 . —種電漿處理裝置,其特徵爲:該裝置係包含 :真空容器;及 將該真空容器內部空間進行真空排氣之手段;及 將反應氣體導入前述真空容器內之手段;及 設於前述真空容器內而載置被加工試片用的試片台; 及 前述真空容器內於前述被加工試片表面的前方配置的 接地電位導體板上介由誘電體而設置之發射電波天線;及 設·於前述真空容器外,與由前述電磁波發射天線對前 本紙張尺度逋用中國國家橾準(CNS ) Α4規格(210X297公釐) (請先聞讀背面之注意事項再填寫本頁) 訂 線ί -34 - 312815 AS B8 C8 D8 經濟部中央標準局員工消費合作社印製 六、申請專利範 圍 述 真 空容 器 內 所 發 射 之 電 波 起 相 乘 作 用 » 而於 W. 刖 述 真 空 容 器 內 部 空 間 內 產 生 電 漿 的 磁 場 產 生 手 段 0 13 • 如 串 請 專 利 範 圔 第 1 2 項 之 電 漿 處 理 裝 置 > 其 中 9 前述 電 磁 波 發 射 天 線 係 平 面 狀 0 14 • 如 串 請 專 利 範 圍 第 1 2 項 之 電 漿 處 理 裝 置 > 其 中 » 前述 電 磁 波 發 射 天 線 係 平 面 狀 且 於 W. 刖 述 平 面 板 上 形 成 有 狹 長槽 0 15 • 如 串 請 專 利 範 圍 第 1 2 項 之 電 漿 處 理 裝 置 » 其 中 9 前述 電 磁 波 發 射 天 線 係 由 複 數 個 直 線 狀 天 線 所 構 成 〇 16 如 串 請 專 利 範 圍 第 1 5 項 之 電 漿 處 理 裝 置 9 其 中 > 前述 電 磁 波 發 射 天 線 係 由 3 個 以 上 奇 數 個 直 線 狀 天 線 所 構 成, 彼 此 交 叉 配 置 ^ r- 刖 述 天 線 部 份 的 交 叉 點 位 置 離 開 各 天 線部 份 的 中 心 位 置 〇 17 如 串 請 專 利 範 圍 第 1 2 項 之 電 漿 處 理 裝 置 其 中 前述 電 磁 波 發 射 天 線 係 接 續 著 U Η F 頻 帶 之 電 磁 波 供 給 源 9 18 • 如 串 請 專 利 範 圍 第 1 2 項 之 電 漿 處 理 裝 置 其 中 於前 述 電 磁 波 發 射 天 線 重 叠 施 加 3 0 0 Μ Η Ζ 到 1 G Hz 的 周 波 數 範 圍 內 的 第 1 高 周 波 電 壓 以 及 、,- 刖 述 第 1 高 周 波電 壓 的 周 波 數 的 1 / 2 以 下 之 第 2 高 周 波 電 壓 Ο 19 * 如 丰 請 專 利 範 圍 第 1 2 項 之 電 漿 處 理 裝 置 ) 其 中 9 前述 的 誘 電 體 係 由 石 英 η 氧 化 銘 氮 化 矽 、 氮 化 硼 碳 化 砂·、 氧 化 锆 P y r e X 玻 璃 % 鐵 氣 龍 等 材 質 任 意 擇 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家揉準(CNS ) A4说格(210X297公釐) 訂 -線I -35 - A8 B8 C8 D8 六、申請專利範圍 —所構成。 2 0 .如申請專利範圍第1 2項之電漿處理裝置,其 中,前述的電磁波發射天線係由矽、石墨、鋁及不鏽鋼等 材質任意擇一所構成。 2 1 . —種電漿處理裝置,其特徵爲:該裝置係包含 :真空容器;及 將該真空容器內部壓力調整至0 . 1至3 Pa的範圍 內之指定壓力之調整手段;及 設於前述真空容器內而載置被加工試片用的試片台; 及 對該試片台施加第1高周波電壓之手段;及 前述真空容器內,於前述試片台上所載置之前述被加 工試片表面的前方配置的產生電漿用的發射電磁波之平面 板;及 由前述平面板對前述真空容器內所發射之前述產生電 漿用之電磁波起相乘作用,而於前述真空容器內產生電漿 的磁場產生手段。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 2 2 . —種電漿處理裝置,其特徵爲:該裝置係包含 :真空容器;及 將該真空容器內部空間進行真空排氣之手段;及 將反應氣體導入前述真空容器內之手段;及 設於前述真空容器內而載置被加工試片用的試片台: 及 對·該試片台施加髙周波電壓之手段;及 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) -36 - A8 312815 I 六、申請專利範圍 設於前述真空容器內而對於前述試片台上所載置之前 述被加工試片表面的前方配置的產生電漿用的發射電磁波-之平面板;及 對該平面板供應前述生成電漿用之電磁波之供給手段 :及 由前述平面板對前述真空容器內所發射之前述產生電 漿用之電磁波起相乘作用,而於前述真空容器內產生電漿 的磁場產生手段》 2 3 .如申請專利範圍第2 2項之電漿處理裝置,其 中,前述的平面板係圓形的導體板。 2 4 .如申請專利範圍第2 2或2 3項之電漿處理裝 置,其中,前述的平面板係由石墨或矽所製成。 2 5 ·如申請專利範圍第2 2或2 3項之電漿處理裝 置,其中,前述電磁波供給手段對前述平面板供給電磁波 的供給位置係設定於離開前述平面板之中心部。 經濟部中央標準局貝工消費合作社印裝 (請先閲讀背面之注意事項再填寫本頁) 2 6 ·如申請專利範圍第2 2或2 3項之電漿處理裝 置,其中,前述反應氣體係含氟原子化合物所構成之蝕刻 氣體· 2 7 .如申請專利範圍第2 2或2 3項之電漿處理裝 置,其中,前述被加工試片係半導體晶圓。 2 8 _ —種電漿處理裝置,其特徵爲:該裝置係包含 :真空容器;及 將該真空容器內部空間進行真空排氣之手段;及 將.反應氣體導入前述真空容器內之手段;及 本紙張尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) ' ' ~ -37 - 經濟部中央標準局®:工消費合作社印製 Α8 Β8 C8 D8 κ、申請專利祀圍 設於前述真空容器內而載置被加工試片用的試片台; 及 前述真空容器內於前述被加工試片表面的前方配置的 爲產生電漿而發射電磁波用之發射電波天線;及 對該發射電磁波天線供給產生電漿用之電磁波之供給 手段;及 由前述平面板對前述真空容器內所發射之前述產生電 漿用之電磁波起相乘作用,而於前述真空容器內產生電漿 的磁場產生手段。 2 9 .如申請專利範圍第2 8項之電漿處理裝置,其 中,前述電磁波發射天線係於接地電位導體板上介由誘電 體所設之直線狀複數的天線部份所構成,前述各天線部份 的長度設定爲由前述電磁波供給手段所供給的電磁波的波 長的1/4波長的整數倍的長度在加減2 0%以內的長度 〇 3 0 .如申請專利範圍第2 2項之電漿處理裝置,其 中,前述電磁波供給手段係由UHF頻帶之電磁波源而來 的電磁波通過高通濾波器而供給到前述平面板。 3 1 ·如申請專利範圔第2 2項之電漿處理裝置,其 中,前述電磁波供給手段係由U H F頻帶之電磁波源而來 的電磁波通過高通濾波器而供給到前述平面板的同時’除 前述U H F頻帶之電磁波源以外其他的高周波電源而來的 波長爲前述UH F頻帶之電磁波之1 /2以下之周波數之 電磁波·通過低通濾波器而供給到前述平面板。 本紙張尺度適用中國國家標準(CNS ) Α4洗格(210Χ297公釐} (請先閲讀背面之注意事項再填寫本頁) 訂 旅 _ 38 _ 經濟部中央標準局負工消費合作社印裝 A8 B8 C8 D8 ^·、申請專利範圍 3 2 ·如申請專利範圍第2 8項之電漿處理裝置,其 中,前述電磁波供給手段係由U H F頻帶之電磁波源而來 的電磁波通過高通濾波器而供給到前述電磁波發射天線。 3 3 .如申請專利範圍第2 8項之電漿處理裝置,其 中,前述電磁波供給手段係由U H F頻帶之電磁波源而來 的電磁波通過高通濾波器而供給到前述電磁波發射天線的 同時,除前述U H F頻帶之電磁波源以外其他的高周波電 源而來的波長爲前述UH F頻帶之電磁波之1 /2以下之 周波數之電磁波通過低通濾波器而供給到前述電磁波發射 天線。 3 4 . —種電漿處理裝置,其特徵爲:該裝置係包含 :具有氣體導入部與排氣部之真空室;及 設於該真空室內而載置被加工試片用的試片台;及 於前述被加工試片的表面的垂直方向形成產生電漿用 的磁場的磁場形成手段:及 於前述被加工試片表面的相反方向所配置的接地電位 平板上介由絕緣體而設置的複數直線所構成之電磁波發射 天線;及 對該電磁波發射天線供給前述電磁波之電磁波供給手 段所構成;而藉由前述電磁波發射天線對前述真空室內發 射之前述電磁波與前述產生電漿用磁場起相乘作用而將導 入前述真空室內之氣體電漿化。 3 5 ·如申請專利範圍第3 4項之電漿處理裝置,其 中,前·述磁場形成手段係由空心線圈而形成前述產生電漿 本紙張尺度逋用中國·國家標準(CNS ) Α4規格(210X297公釐) " -39 - (請先閲讀背面之注意事項再填寫本頁) 、?τ- ii— ^12815 含 88 D8 經濟部中央標準局另工消费合作社印製 々、申請專利範圍 用之磁場。 36.如申請專利範圍第34項之電漿處理裝置,其-中,前述磁場形成手段係由永久磁鐵而形成前述產生電漿 用之磁場。 3 7 .如申請專利範圍第3 4項之電漿處理裝置,其 中,前述磁場形成手段係由永久磁鐵以及爲控制該永久磁 鐵所形成之磁場分布所使用之空心線圏而形成前述產生電 漿用之磁場。 3 8 .如申請專利範圍第3 6或3 7項之電漿處理裝 置,其中,前述永久磁鐵係於中央部具有貫穿孔之圓筒狀 永久磁鐵其直徑在前述被加工試片的外徑的7 0 %至 1 5 0%的範圍內,且其厚度在前述永久磁鐵的直徑的 10%到100%的範圍內。 3 9 .如申請專利範圍第3 6或3 7項之電漿處理裝 置,其中,前述永久磁鐵係由複數個小型磁鐵接近配置而 構成。 4 0 .如申請專利範圍第3 6或3 7項之電漿處理裝 置|其中,前述永久磁鐵可以在前述被加工試片的表面的 垂直方向移動。 41 .如申請專利範圍第34、35、36或37項 之電漿處理裝置,其中,前述複數的狹縫線係以放射線狀 配置於前述電磁波供應手段所對前述電磁波發射天線所供 應電磁波之供給位置爲中心向外側成放射狀配置。 4·2 ·如申請專利範圔第34、35、36或37項 (請先閣讀背面之注意事項再填寫本頁) 訂 # 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) 經濟部中夬搮準局貝工消費合作社印製 A8 B8 C8 _ D8六‘申請專利範圍 之電漿處理裝置,其中,前述複數的狹縫線係於直線上成 相互平行之配置。 43 .如申請專利範圍第34、35、36或37項 之電漿處理裝置,其中,前述複數的狹縫線係於直線上成 相互平行之配置。 4 4 ·如申請專利範圍第4 3項之電漿處理裝置,其 中,前述複數的狹縫線被供給不同相位之電磁波。 45 .如申請專利範圍第34、35、36或37項 之電槳處理裝置,其中,前述複數的狹縫線係成放射狀交 叉配置於爲供給前述電磁波發射天線的電磁波的前述電磁 波供給位置之交叉點。 46 .如申請專利範圍第34、35、36或37項 之電漿處理裝置,其中,前述複數的狹縫線數目係爲3個 以上之奇數。 47 ·如申請專利範圍第34、35、36 ' 37或 4 4項之電漿處理裝置,其中,前述複數的狹縫線全部被 石英玻璃所包覆》 48 .如申請專利範圍第34、35、36、37或 4 4項之電漿處理裝置,其中,前述複數的狹縫線長度被 設定爲前述電磁波1/4波長的整數倍長而誤差在正負 2 0 %以內。 49 .如申請專利範圍第34、35、36、37或 4 4項之電漿處理裝置,其中,於供給前述電磁波發射天 線用之·前述電磁波供給位置的附近的前述電磁波發射天線 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -41 - A8 B8 C8 D8 &、申請專利範圍 與前述試片台之間設置有圓盤狀之導體板。 50 .如申請專利範圍第34、35、36、37或 4 4項之電漿處理裝置,其中,前述電磁波發射天線係配 置於前述真空室之內部空間以及由石英窗所隔開之空間內 〇 5 1 .如申請專利範圍第5 0項之電漿處理裝置,其 中,前述石英窗所隔開之內部空間側設置有由前述電磁波 發射天線所構成的沿著複數狹縫線而設有開口幅度爲狹縫 線幅度1 0 0%至5 0 0%的開口的接地電位導體板。 52 .如申請專利範圍第34、35、36、37或 4 4項之電漿處理裝置,其中,前述試片台上附設有對前 述被加工試片施加高周波電壓之高周波電壓供給手段以及 保持前述被加工試片於一定溫度之溫度控制手段。 53 .如申請專利範圔第34、35、36、37或 4 4項之電漿處理裝置,其中,前述電磁波供給手段供給 至前述電磁波發射天線的電磁波周波數被設定於4 0 0 MHz到2.5GHz的範圍內。 (請先閱讀背面之注意事項再填寫本頁) 訂 線ί 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS >Λ4規格(210X297公釐〉 -42 -
TW085114904A 1995-12-15 1996-12-03 TW312815B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP7326824A JPH09102400A (ja) 1995-07-31 1995-12-15 マイクロ波プラズマを使用するプロセス装置
JP7585496 1996-03-29

Publications (1)

Publication Number Publication Date
TW312815B true TW312815B (zh) 1997-08-11

Family

ID=26417017

Family Applications (1)

Application Number Title Priority Date Filing Date
TW085114904A TW312815B (zh) 1995-12-15 1996-12-03

Country Status (5)

Country Link
US (2) US5891252A (zh)
EP (1) EP0779644B1 (zh)
KR (1) KR100472582B1 (zh)
DE (1) DE69635124T2 (zh)
TW (1) TW312815B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6755935B2 (en) 2000-03-17 2004-06-29 Hitachi, Ltd. Plasma processing apparatus
TWI393489B (zh) * 2009-06-17 2013-04-11 High density microwave and ultra high frequency mixed type plasma coating device

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
JPH11317299A (ja) * 1998-02-17 1999-11-16 Toshiba Corp 高周波放電方法及びその装置並びに高周波処理装置
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
US6225592B1 (en) * 1998-09-15 2001-05-01 Astex-Plasmaquest, Inc. Method and apparatus for launching microwave energy into a plasma processing chamber
DE19851628B4 (de) * 1998-11-10 2004-04-15 Attila M. Dipl.-Phys. Bilgic Streifenleitungsanordnung mit integrierten Gaszuführungen für mikrowelleninduzierte Plasmaquellen zur Anwendung in der analytischen Atomspektrometrie
KR20010080572A (ko) * 1998-11-26 2001-08-22 가나이 쓰토무 드라이 에칭 장치 및 드라이 에칭 방법
JP3542514B2 (ja) * 1999-01-19 2004-07-14 株式会社日立製作所 ドライエッチング装置
US7537672B1 (en) 1999-05-06 2009-05-26 Tokyo Electron Limited Apparatus for plasma processing
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6310577B1 (en) * 1999-08-24 2001-10-30 Bethel Material Research Plasma processing system with a new inductive antenna and hybrid coupling of electronagnetic power
US6716758B1 (en) * 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6504159B1 (en) 1999-09-14 2003-01-07 International Business Machines Corporation SOI plasma source ion implantation
DE19955671B4 (de) * 1999-11-19 2004-07-22 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
JP4678905B2 (ja) * 1999-12-20 2011-04-27 徳芳 佐藤 プラズマ処理装置
AU2001224729A1 (en) * 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
TW521386B (en) * 2000-06-28 2003-02-21 Mitsubishi Heavy Ind Ltd Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma CVD apparatus
US6875366B2 (en) 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6806201B2 (en) * 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
JP2002134472A (ja) * 2000-10-20 2002-05-10 Mitsubishi Electric Corp エッチング方法、エッチング装置および半導体装置の製造方法
JP3897582B2 (ja) * 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP4109861B2 (ja) 2000-12-12 2008-07-02 キヤノン株式会社 真空処理方法
WO2002056649A1 (fr) * 2000-12-27 2002-07-18 Japan Science And Technology Corporation Generateur plasma
JP3625197B2 (ja) * 2001-01-18 2005-03-02 東京エレクトロン株式会社 プラズマ装置およびプラズマ生成方法
CN1293789C (zh) * 2001-01-18 2007-01-03 东京毅力科创株式会社 等离子体装置及等离子体生成方法
JP4035298B2 (ja) * 2001-07-18 2008-01-16 キヤノン株式会社 プラズマ処理方法、半導体装置の製造方法および半導体装置
JP4209612B2 (ja) * 2001-12-19 2009-01-14 東京エレクトロン株式会社 プラズマ処理装置
WO2003077299A1 (fr) * 2002-03-08 2003-09-18 Tokyo Electron Limited Dispositif a plasma
JP4377698B2 (ja) * 2002-04-08 2009-12-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7511246B2 (en) 2002-12-12 2009-03-31 Perkinelmer Las Inc. Induction device for generating a plasma
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
KR101007822B1 (ko) * 2003-07-14 2011-01-13 주성엔지니어링(주) 혼합형 플라즈마 발생 장치
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
WO2006099190A2 (en) 2005-03-11 2006-09-21 Perkinelmer, Inc. Plasmas and methods of using them
US7742167B2 (en) 2005-06-17 2010-06-22 Perkinelmer Health Sciences, Inc. Optical emission device with boost device
US8622735B2 (en) * 2005-06-17 2014-01-07 Perkinelmer Health Sciences, Inc. Boost devices and methods of using them
WO2007129520A1 (ja) * 2006-05-08 2007-11-15 Panasonic Corporation 大気圧プラズマ発生装置及び発生方法
JP5161086B2 (ja) * 2006-07-28 2013-03-13 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
WO2008024392A2 (en) * 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
KR101117150B1 (ko) * 2007-06-11 2012-03-13 고쿠리츠다이가쿠호진 도호쿠다이가쿠 플라즈마 처리 장치 및 처리 방법
JP5073545B2 (ja) * 2008-03-26 2012-11-14 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法
JP5213530B2 (ja) * 2008-06-11 2013-06-19 東京エレクトロン株式会社 プラズマ処理装置
JP5478058B2 (ja) * 2008-12-09 2014-04-23 国立大学法人東北大学 プラズマ処理装置
US20120160806A1 (en) * 2009-08-21 2012-06-28 Godyak Valery A Inductive plasma source
KR101147349B1 (ko) * 2010-09-17 2012-05-23 인제대학교 산학협력단 누설 전류형 변압기를 이용한 플라즈마 처리장치
US20120160841A1 (en) 2010-12-23 2012-06-28 Eastman Chemical Company Wood heater with enhanced microwave choke system
US9259798B2 (en) 2012-07-13 2016-02-16 Perkinelmer Health Sciences, Inc. Torches and methods of using them
CA2905931C (en) * 2013-03-13 2021-10-26 Radom Corporation Microwave plasma spectrometer using dielectric resonator
DE102015004414A1 (de) * 2015-04-02 2016-10-06 Centrotherm Photovoltaics Ag Plasma-Behandlungsvorrichtung für Wafer
CN111092008A (zh) * 2018-10-24 2020-05-01 江苏鲁汶仪器有限公司 一种感应耦合等离子体刻蚀设备及刻蚀方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
JPH03122294A (ja) * 1989-10-04 1991-05-24 Nec Corp エッチング装置
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
TW273067B (zh) * 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
JP2641390B2 (ja) * 1994-05-12 1997-08-13 日本電気株式会社 プラズマ処理装置
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6755935B2 (en) 2000-03-17 2004-06-29 Hitachi, Ltd. Plasma processing apparatus
TWI393489B (zh) * 2009-06-17 2013-04-11 High density microwave and ultra high frequency mixed type plasma coating device

Also Published As

Publication number Publication date
KR100472582B1 (ko) 2005-09-12
DE69635124D1 (de) 2005-10-06
DE69635124T2 (de) 2006-07-13
KR970058391A (ko) 1997-07-31
US5891252A (en) 1999-04-06
EP0779644A3 (zh) 1997-10-01
US6033481A (en) 2000-03-07
EP0779644A2 (en) 1997-06-18
EP0779644B1 (en) 2005-08-31

Similar Documents

Publication Publication Date Title
TW312815B (zh)
JP5391209B2 (ja) プラズマ処理装置
KR101920842B1 (ko) 플라즈마 소스 디자인
KR100255703B1 (ko) 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US6080271A (en) Plasma source for generating inductively coupled, plate-shaped plasma, having magnetically permeable core
JP4869059B2 (ja) アンテナ、プラズマ処理装置および基板の処理方法
JP5155235B2 (ja) プラズマ処理装置およびプラズマ生成装置
JPH0216732A (ja) プラズマ反応装置
WO2003012821A2 (en) Method and apparatus for producing uniform process rates
JPH11135438A (ja) 半導体プラズマ処理装置
JP3267174B2 (ja) プラズマ処理装置
JP2010163682A (ja) プラズマ処理装置およびプラズマ生成装置
JP3254069B2 (ja) プラズマ装置
JP3834958B2 (ja) プラズマ処理装置
JP2004533096A (ja) 誘導結合高密度プラズマ源
JP5705290B2 (ja) プラズマ処理装置
Pelletier Distributed ECR plasma sources
JPS61213377A (ja) プラズマデポジシヨン法及びその装置
JP3840821B2 (ja) プラズマ処理装置
JP3294839B2 (ja) プラズマ処理方法
JP3883615B2 (ja) プラズマ発生装置およびプラズマ処理装置
JP3823001B2 (ja) プラズマ処理装置
JP4523566B2 (ja) ドライエッチング装置
JP3732287B2 (ja) プラズマ処理装置
JPH0687440B2 (ja) マイクロ波プラズマ発生方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees