CN1121057C - 真空处理室的通用壳体 - Google Patents

真空处理室的通用壳体 Download PDF

Info

Publication number
CN1121057C
CN1121057C CN97195274A CN97195274A CN1121057C CN 1121057 C CN1121057 C CN 1121057C CN 97195274 A CN97195274 A CN 97195274A CN 97195274 A CN97195274 A CN 97195274A CN 1121057 C CN1121057 C CN 1121057C
Authority
CN
China
Prior art keywords
chamber
substrate support
sidewall
aperture
housing according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN97195274A
Other languages
English (en)
Other versions
CN1225747A (zh
Inventor
尼尔·本杰明
乔恩·许尔贝特
斯特凡诺·曼加诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1225747A publication Critical patent/CN1225747A/zh
Application granted granted Critical
Publication of CN1121057C publication Critical patent/CN1121057C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种其中可拆卸地装有基片支承件的真空处理室。该室的侧壁中包括一个孔口,该孔口大得足以让基片支承件从中通过而从室中取出。一个组合安装装置延伸得穿过该孔口并在朝向室的内侧壁处可拆卸地支承着室内的基片支承件。该安装装置包括安装凸缘和支承臂。安装凸缘连接在室的外表面上,支承臂延伸在基片支承件与安装凸缘之间。该室包括与基片支承件隔开的位于室的端壁中央部位的一个单个真空口。该真空口连接着从室内抽取气体的真空泵,且将室保持在低于大气压的压力状况下。基片支承件由于能从室的侧壁中取出而便于维修或替换。装有基片支承件的侧壁也使得一个大真空口位于室的端壁中,因此,将该真空口与大容量真空泵连接而达到高流动。室还包括组合衬圈、组合等离子发生源及组合真空泵送装置,它们中每一种均可用可互换装置替换。

Description

真空处理室的通用壳体
技术领域
本发明涉及加工基片例如半导体晶片的设备。该设备包括一个适宜连接设备组件例如等离子发生源、真空泵送装置和/或悬臂基片支承件的通用壳体。
背景技术
真空处理室通常是通过向真空室供应腐蚀或沉积气体及对气体应用射频电场而使气体增能为等离子状态,将材料腐蚀或化学气相沉积在基片上。共同拥有的美国专利第4340462号、4948458号及5200232号公开了平行板、也称为电感耦合等离子体的变压器耦合等离子及电子回旋加速器共振反应器的例子。真空处理室通常设计来达到工作标准,这种标准依赖于其中要进行的处理而定。因此,专用的等离子发生源、真空泵送装置及与专用处理室结合的基片支承件,必须定做或专门设计来达到工作标准。与辅助设备例如等离子发生源、真空泵送装置及基片支承件有关的模件的缺乏,导致生产成本增加、组装进度减慢及此种辅助设备缺乏灵活性。
基片在由基片支架处理时通常位于真空室中。常规的基片支架,包括机械夹具与静电夹具。机械夹具与静电夹具基片支架的例子,在共同拥有的美国专利第5202029号及1995年3月10日的美国专利申请第08/401524号中提供。形式为电极的基片支架,可如美国专利第4597618号公开的那样,在室中供应射频电源。机械夹具通常采用一个夹紧环,该环包围着基片,并围着基片周边压在基片顶部表面上。美国专利第4615755号、5013400号及5326725号公开了一些机械夹紧环的例子。
包括平面显示器的基片及较小的基片,在某些处理步骤中,可由基片支架进行冷却。这种冷却,是在基片支架与基片反面之间施用惰性气体例如氦气进行的。例子见美国专利第5160152号、5238499号、5350495号及5534816号。冷却气体通常向基片支架中的一些通道或一个槽型板供应,且对基片施加反压,在基片只是被机械夹紧设备沿着边缘固定时,该反压往往使基片在中央处朝上拱起。
静电夹头用来在要求不用夹紧环的情况下将半导体及导体基片固定在真空室中,因为夹紧环往往盖住基片上表面的一部分。单极型的静电夹头使用单个电极。例子见美国专利第4665463号。两极型的静电夹头应用两块带电电容器板之间的相互吸引,这两块电容器板由一个绝缘层隔开。例子见美国专利第4692836号及5005964号。
真空处理室所用基片支承件,通常装在操作与替换起来有困难且耗时的基片支承件的室的底壁上。这种底部装有支承件的例子,可见于美国专利第4340462号、4534816号、4579618号、4615755号、4948458号、5200232号及5262029号。然而,如果基片支承件通过处理室的侧壁可以达到和/或操作,也合乎要求。另外,通过处理室提供改进气流的基片装置,对处理半导体基片也很有好处。
常规真空处理室的缺点在于,由于气体流动路径受限制和/或不能达到低气压,这种室不会在低压环境下提供高气流。因此,在低压条件下(例如小于10毫乇)达到高气流(例如至少200sccm)的真空处理室,很有利于处理半导体基片。
发明内容
本发明提供一种真空处理室,它具有改进的操作性能、灵活的部件选择和/或尤其是在低压条件下气体流动均匀性的改进。改进的操作性能,是由一些安装装置提供的,这些装置使等离子发生源、基片支承件和/或真空泵由于维修或替换的缘故便于从室中取出。由于这些安装装置是可互换的,室就便于改动得能容纳一个极靠近基片支承件或更上游的合乎要求的等离子发生源、一个合乎要求的基片支承件组件例如其机械夹头或静电夹头可装在室中的这种组件,以及/或者泵送速度合乎要求而可连接在室上的真空泵组件。因此,室就作为通用壳体,用于生产对于进行等离子腐蚀或化学气相沉积这种处理有用的不同类型的真空室。
根据本发明的一个方面,提供一种真空处理室的通用壳体,包括:一个具有第一、第二端壁以及在端壁之间延伸的一个侧壁的室,该室包括一个穿通侧壁的侧壁孔口,该侧壁孔口具有一个支承模件安装装置,该支承模件安装装置可拆卸地连接着一个适配的基片支承件装配模件,其特征在于:所述室包括一个等离子发生源装配模件。
第一孔口可以是圆形的且包括第一与第二凹陷表面,其中,第一凹陷表面在第二凹陷表面的里面。一个O形环密封件位于每个凹陷表面的一条槽中,用以密封第一孔口。包围着第三孔口的安装装置,最好与连接着悬臂基片支承件组件的安装装置配合,该组件包括一个支承臂和一个基片支承件。支承臂与基片支承件的尺寸可被定得穿通第三孔口(该孔口可以是非圆形的例如矩形的),以便室内基片支承件的拆卸与组装。包围着第二孔口的安装装置,最好与连接着真空泵组件的安装装置配合。室的侧壁可包括一个筒形侧壁且第二孔口可以是圆形的,其中,由第二孔口限定的流通面积,至少是由室的侧壁限定的最大剖面面积的1/3。
根据本发明的另一个实施例,真空处理室带有以悬臂方式可拆卸地装在其中的一个基片支承件。该支承件由一个安装装置装在真空处理室里面,该装置延伸得穿过室的侧壁中的一个孔口。该孔口大得足以让基片支承件通过它从室中拆卸出来。安装装置可拆卸地把基片支承件在室内支承在一个朝向室的内侧壁表面的位置。
根据本发明不同的一些方面,处理室可以是一个高密度等离子处理室,其中,在邻接着基片支承件的一个区域提供高密度的等离子。基片支承件可包括一个夹具装置,该装置把半导体基片夹在基片支承件的支承面上。室可包括一个在室的一个端壁的中央部位的真空口,且该端壁被一个敞开区域与基片支承件隔开。真空口可连接着一个真空泵,该泵将气体从室内抽出并将室保持在合乎要求的例如低于100毫乇的压力状态下。
安装装置可包括一个安装凸缘及一个水平延伸的支承臂,该臂的一端连接着基片支承件,另一端连接着安装凸缘。安装凸缘可包括装在室的侧壁孔口内的一个部分。孔口可以是锥形的,从而该孔口顺着朝向室的内部的方向尺寸缩小;该部分是锥形的,从而孔口的配合面与该部分形成锥形的配合。室可包括一个围绕着基片支承件的内部筒形表面。室侧壁中的孔口可延伸得穿过筒形表面,安装凸缘的部分可包括一个曲面,该曲面的沿着筒形表面中孔口边缘的边缘,面对着室的内部。支承臂连接着基片支承件,从而经过基片支承件外周边的气体流动基本上是均匀的。安装装置可包括一个真空密封件,它围绕着孔口并保持室与安装装置之间的一个真空紧固密封件。支承臂内部可包括一些辅助管道。这些辅助管道可提供用于基片支承件上的基片背面冷却的冷却气体,用于基片支承件温度控制的冷却液体,用于激活基片支承件中射频偏压电极的射频电源,用于驱动起模顶杆机构的压缩气体,用于监测设备例如背面压力、基片支承件温度等等的电信号线路,提供氮气或清洁的干燥空气以便从支承臂内部除湿,以及/或者用于驱动基片支承件各种不同部件的电力线路。
本发明还提供一种在上述处理室中处理基片的方法。该方法可包括在基片支承件支承面一个位置上向处理室提供基片,把基片夹在基片支承件的支承面上并处理基片。处理可包括在基片与基片支承件之间提供传热气体。在处理工序中,基片暴露的表面可被腐蚀或涂覆。处理室可以是电子回旋加速器共振反应器、变压器耦合等离子体/电感耦合等离子体反应器的一部分,或平行电容器板反应器、螺旋形反应器、螺旋形谐振反应器、均质腐蚀的微波下游反应器或者光刻胶剥离室的一部分。基片支承件可包括一个机械或静电的夹具,用于支承基片例如玻璃板、半导体晶片或如此之类。
附图说明
下面,参照附图更详细地说明本发明,图中的同样标号表示同样的部件。在这些图中:
图1是根据本发明的真空处理室的简略图;
图2是根据本发明而没有图1所示基片支承件及装有等离子发生装置的顶壁的真空处理室简略图;
图3是根据本发明的基片支承件的简略图;
图4是根据本发明而其中装有基片支承件组件但顶部未装图1略去的等离子发生装置的真空处理室简略图;
图5显示根据本发明的真空处理室的通用室壳体的剖面,该壳体包括悬臂基片支承件及真空泵;
图6显示图5所示通用壳体的透视图;
图7显示图5所示通用壳体的侧视图;
图8显示图5所示通用壳体的顶视图;
图9显示根据本发明另一实施例的通用壳体剖面图,其中,壳体包括悬臂基片支承件及上游等离子发生源;以及
图10显示根据本发明的另一真空处理室的剖面图。
具体实施方式
本发明提供一种真空处理室,它具有改进的操作性能,具有在部件(也涉及组件)例如等离子发生源、基片支承件和真空泵送装置的设计与制造方面的灵活性,以及/或者在极低压力状态下气体流动的改进均匀性。
在操作性能方面,常规的室设计得不容易接近室的不同部件,因此,需要密集劳动与耗费时间的加工来维修或替换室的部件。根据本发明,等离子发生源、基片支承件和/或真空泵送装置,便于从室中卸下,以便在离开室的位置上容易对室维修,或对可互换的部件加以替换。
在生产的灵活性方面,本发明允许真空泵送装置的生产者在范围广泛的处理(例如腐蚀、沉积等等)、被处理的材料(例如氧化物、多晶硅、金属、氮化物等等)或基片的类型中生产通用室,典型的是在一个既定范围内(例如一个专门的通用室可以适合于一个基片尺寸范围例如150至300mm)如此。以此方式,生产者可轻易地为了专门用途,把适宜的能源、基片支承件及真空装置与通用室连接上。这与在整个系统中专门为了既定用途、合乎要求的基片尺寸、合乎要求的基片支承件而进行生产的生产方法形成对照。因此,可以大大减少从接到定单到交货的时间,且对于定单的变化或取消定货更容易接受。
在设计的灵活性方面,一个通用室壳体可与一些硬件部件一同安装而合作执行专门的处理例如等离子处理或沉积。另外,这种通用室壳体可与不同的部件改装而执行不同的处理,以及/或者处理不同的基片尺寸和/或使用不同的基片支承件。通用室壳体包括一些安装装置(例如标准化的接口端口),每个安装装置容纳不同的一些可互换的部件。这种“模件化”大大减少了由于室的维修或改装所需的停工时间。每种组件(例如能源、真空设备、基片支承件等等)可设计得安装成室上的标准化接口端口。在要求使用不适合标准化接口端口的组件之处,可将该组件容纳于较适合的硬件中而使组件与标准化接口端口配合。
本发明还提供一种高流量的室,用以处理半导体基片例如半导体晶片、平面显示器基片,等等。高流动是由于在一个端壁例如室的底部中提供一个大出口及把基片支承件安装在一个支承臂上而部分达到的,该支承臂向气流提供最小的阻抗及向经过基片暴露表面的气流提供最小的对称性。
根据本发明的一个方面,室包括其侧壁上的一个孔口,基片支承件延伸得经过该孔口从而被可拆卸地安装在室的内部。这样的安装装置,由于基片支承件可通过侧壁上的孔口完全从室中拆卸,就使基片支承件易于维修。在本发明之前,通常是将基片支承件装在真空处理室的底壁上,该室被连接着侧壁中或围绕着基片支承件的室的底部区域中的出口的一个或几个真空泵抽成真空。这样的一些装置,把室抽成真空不甚理想,且基片支承件的维修又困难又耗费时间。
根据本发明的真空处理室,可用于各种不同的半导体等离子处理工序,例如腐蚀、沉积、保护层剥离,等等。具有电感耦合等离子体源的真空处理室10的例子显示于图1中,其中,工艺气体由适当的设备例如气体分配环、气体分配板、喷嘴等等向处理室供应,且真空由适当的真空泵送设备保持在室的内部30中,该设备由连接着端壁中例如处理室底部中的一个大出口20的组合安装装置支承。真空处理室可通过一条外部射频天线例如一个平线圈40而被供应射频能量,该线圈在一个位于端壁例如室的顶部上的绝缘窗50的外边。然而,等离子发生源可以是任何类型的等离子发生装置,例如电子回旋加速器共振反应器、平行电容器反应器、螺旋形反应器、螺旋形谐振器,等等。等离子发生源连接在一个组合安装装置例如环型安装凸缘42上,该凸缘可拆卸地装在室的端壁上。为了在安装凸缘42与室10之间保持真空紧固密封件,在室10端壁的一个槽中装了O形密封件44,并让一个射频防护件46围绕着真空密封件。由于真空泵提供大的真空力,就不必使用紧固件将安装凸缘42连接在室10上,而安装凸缘42可简单地停靠在室10的端壁上,如果需要,安装凸缘42或等离子发生源组件的其他部分可铰接在室10上,从而等离子发生源可朝着一个方向例如垂直方向枢转,以便于维修室10的内部30。
一块基片60在室中被支承在基片支承件70上,该支承件由室10的侧壁12上的一个组合安装装置可拆卸地支承着。基片支承件70在以悬臂方式安装的支承臂80的一端,从而整个基片支承件/支承臂组件70/80可由于使组件穿过室的侧壁中的一个孔口14而从室中拆卸,如图2所示。基片支承件可包括一个夹接设备例如一个机械环形夹头72及位于绝缘窗50下方的室的中央部位的射频偏压电极74。可替换的是,夹接设备可包括任何适当的装置,例如导电材料如含有水冷管路的铝所制的静电单极夹具,或具有覆盖着一层绝缘材料例如铝土的导电电极的多极夹具。
根据本发明的夹接装置,可用于等离子或非等离子的环境中。因此,当以下参照用于将半导体基片保持在等离子环境中的一个夹头说明本发明的一个特定实施例时,根据本发明的基片支承件组件可用于其他处理室中。另外,夹接装置可包括(1)一个机械夹头;(2)一个单极的静电夹头(ESC),或两极的、多极的静电夹头,用以将半导体晶片或绝缘基片保持在等离子的、非等离子的、真空的或非真空的环境中或(3)一个单极的静电夹头,用以将绝缘基片例如用于制造平面显示器的玻璃板保持在等离子环境中,其中,等离子不是为了夹接而向基片表面供应离子,而是等离子为了完成一条在单极静电夹头与零位面例如等离子处理室的壁的一部分之间的电路。无论静电夹头是否提供下侧气体来冷却基片,基片均可由基片支承件的水冷却部分进行温度控制。基片支承件可以是矩形、正方形、圆形或其他适宜夹紧要被夹的特定基片的形状。
根据本发明的悬臂夹具组件的一个实施例显示于图3。该组件包括基片支承件70、支承臂80及安装凸缘90。安装凸缘包括装在处理室侧壁12中的孔口14中的部分92。在所示实施例中,支承臂80的一端接着基片支承件70的外表面,支承臂的相反一端接着安装凸缘90的部分92。这种装置可采取各种不同的形式例如一件装置,其中,基片支承件、支承臂及凸缘由单块材料构成;或若干单独的部件可连接在一起构成悬臂夹接组件。基片支承件包括一个可拆卸的盖子,该盖子具有一些活动部件例如静电夹头、射频偏压电极、起模顶杆孔、氦背部冷却气体供应件,等等。
如图2所示,室10包括一条基片传送槽16,基片例如半导体晶片、平的面板等等,可经由这条槽顺着一个方向例如水平方向由适当的机构传入或传出室的内部30。室10可包括一个或几个导杆18,用以将凸缘90的部分92定位和/或引导入孔口14中。如图3所示,安装凸缘90可包括导杆孔94,用以接纳导杆18。在所示实施例中,室10的内部30包括筒形侧壁表面32及包围着出口20的环形底部表面34。凸缘90的部分92包括一个有着边缘98的曲面96,当安装凸缘90装在室10的外部上时,该曲面就沿着筒形表面32中的孔口14。支承臂80包括在垂直方向上与基片支承件70的支承面偏离的外周边。支承臂80支承着室10内部30中的基片支承件70,从而基片支承件的外周边向内朝向筒形表面32。另外,部分92与那些形成孔口14的表面,最好锥形为一个不大于15度的例如2至10度的角度。因此,当部分92装在孔口14中时,部分92的配合面及限定着孔口14的那些表面,就形成锥形的配合。为了在安装凸缘90与室10之间保持一个真空紧固密封件,可围着部分92装一个O形环型密封件99(如点划线所示),从而装入包围着孔口14的室10外部上的一条槽19中。另外,为了使室壳体与基片支承件组件之间的地电势的偏差最小,就用一个射频防护件包围真空密封件。
图4显示装在室10内部30中的基片支承件。安装凸缘90可以能拆卸地由适宜的紧固件例如螺栓连接在室10的外部,但由于真空泵所提供的真空精确地把安装凸缘密封在室的外部,此种紧固件可以省去。在安装凸缘90中有一条操作管路通道,用以使操作管路82-84从其中通过。操作管路83与84可连接着一个外部冷却器,从而温度控制液体可穿过基片支承件,用以使支承件的温度在处理基片时保持在合乎要求的水平。操作管路84可用于向基片背面供应温度控制气体。例如,管路84可用来通过起模顶杆孔76而在基片下面进行压缩氦气供应。操作管路85可向基片支承件中起模顶杆孔的一个气压传动装置(未显示)供应压缩气体。操作管路86可用来向射频偏压电极74供应射频能量。当然,其他操作管路也可执行各种不同的功能,例如监测基片支承件的温度或背面冷却气体的压力,供应氮气或清洁的干燥空气以便除去操作的湿度,和/或向基片支承件的部件供应电力。
图1至4显示一个装置,其中,单个支承臂80在安装凸缘90与基片支承件70之间延伸。然而,对于大的基片来说,可配备一个以上的支承臂延伸在基片支承件与安装凸缘90之间。在这样的实施例中,上述操作管路可在若干个臂之中分配。由于另外这些支承臂会与一个单个安装凸缘耦合,基片支承件依然保持为了维修、灵活性或改装而易于拆卸的便利。另外,为了提供射频电流回路的对称,可在室中配备一个或几个导电臂,其配备方式使得产生于基片支承件70中的射频电流,能够由延伸在侧壁32与基片支承件70之间的一个或几个臂使该电流接地到侧壁32上。这样一个或几个另外的臂,可从侧壁32朝内延伸,且当基片组件被通过孔口插入侧壁中时,它们就与基片支承件70啮合。可选择的是,基片支承件70可以包括从它上面延伸的一个或几个臂,用于当基片支承件组件插入孔口14时与侧壁32配合。由于这些臂仅仅提供了一条对称的接地通路,且没有操作管路,它们同样不会影响基片支承件的“塞入”特性。
图1所示室10包括一个形式为5个回转平线圈的等离子发生装置,该装置穿过绝缘窗50而传送射频能量。为了向室的内部供应工艺气体,邻接着绝缘窗50配有一块气体分配板52。另外,图1所示装置包括一个圆锥形衬圈54,它从气体分配板52外延伸,并包围着基片支承件70。衬圈54的温度由一个或几个构件56控制,这些构件包括电阻加热器、流体通道等等,用以在处理基片时控制衬圈54的温度。为了防止射频能量耦合到衬圈54或构件56中,天线40的外周边最好往里朝向衬圈54的内表面。气体分配板52包括一些通孔,用以让供往绝缘窗50与气体分配板52之间一个空间的工艺气体通过。然而,板52可以省去,工艺气体可由其他气体供送装置供应。另外,根据本发明的悬臂夹具装置,可采用任何真空处理室设计方式。
根据本发明的一个方面,由于等离子发生源可被组合安装装置可拆卸地连接在室上,就可组装或替换等离子发生源。例如,组合安装装置可支承不同类型的等离子发生源,例如平行电容器板电极装置的电极、电感耦合等离子源、helicon源、螺旋型共振器、电感耦合等离子体源、上游等离子发生源,等等。根据一个推荐实施例,等离子发生源包括一个平线圈例如多匝螺旋线圈,它在绝缘窗外面,绝缘窗下方有一块可选择气体分配板。因此,组合安装装置使同样的室设计得能适应专门的处理范围,例如腐蚀氧化物、多晶硅、金属等等,沉积介质膜等等,剥离光刻胶等等。组合安装装置包括一个如图1所示的安装凸缘。然而,窗50或一块等离子发生源组件板可直接安装在室10的端壁上,在此情况下,安装装置可包括一个O形环、一条接纳O形环的槽以及面对着带O形环的槽的一个密封面。
在把天线用作等离子发生源的情况下,等离子发生源所用组合安装装置,可使不同的天线设计用于室10中发生等离子。另外,为了使要被处理的基片与天线之间达到合乎要求的间隔,等离子发生源可以是凹腔型的,其中,窗与天线能顺轴向滑入室的内部30中。用于等离子发生源的组合安装装置,也允许用不同的气体供送装置。例如,工艺气体可通过一块气体分配板例如图1所示的板50而供应。可选择的是,工艺气体可由其他装置例如一个或几个环来供应,这种环有若干个出口将工艺气体朝内引入基片与天线40之间的空间。
根据本发明的另一个方面,由于真空泵送机构可以由组合安装装置能拆卸地连接在室上,为了将室抽空,可以组装或替换真空泵送机构。例如,组合安装装置可支承不同类型的真空泵送机构,例如泵送容量为1000至3000升/秒的杂合高容量磁悬浮涡轮分子泵。推荐的真空泵,是一种涡轮分子/牵引泵,它的前面部分是一台涡轮分子泵,后面部分是一台分子牵引泵。这种杂合泵从日本的OSAKA Vacuum与Seiko Seiki可以得到。依室中进行的处理而定,其他类型的泵例如机械泵、低温泵、扩散泵等等,均可装在组合安装装置上。
图5至图8显示真空泵100所用一种适配组合安装装置的各种特性。室10的内部30由一个适当的闸阀110而与真空泵绝缘。闸阀110由一个适当的组合安装装置装在室10上,而真空泵100则由另一个适当的组合安装装置装在闸阀110上。如图5所示,室10的上端壁包括两个凹陷面,它们提供一个真空密封共界面,用来与等离子发生源组件配合。例如,外凹陷面58包括一条槽以及与窗50的密封面啮合的一个O形环,而内凹陷面59则包括一条槽以及与气体分配板52上的密封面啮合的一个O形环。
如图5所示,基片支承件包括一个可拆卸地装在支承壳体122上的水冷静电夹具120。含有操作管道82、83(未显示)的操作通道91,开入在支承壳体122内限定的一个敞开空间中。安装凸缘90与支承臂80形成合为一体的一件,它以适当的方式例如靠永久结合(例如焊接、硬焊等等)或靠机械紧固件而与支承壳体122密封地啮合,在用紧固件的情况下,包围着射频防护件的O形环插入支承臂80的反面与支承壳体122之间的平行槽中。
为了检测处理参数,可配设一个或几个辅助开口130、132、134、138、140、142、144供设备例如Langmuir探头、旁通阀、气压表、等离子诊断仪、激光测量设备等等所用。这些辅助开口对于将室用来进行研究与开发是有用的,但对于用于生产环境中的室,有些或所有的开口可以省去。
为了把气体分配板52所供应的气体导入基片60与气体分配板52之间的空间,室10的端壁可包括一个或几个气体供应开口150、152,这些开口适宜与适当的气体供应源相连接。开口150、152使得气体可向窗50与气体分配板52之间的间隙供应。
室10可包括支座160、162,用以把室支承在一个支承框(未显示)上。如果需要,这样的框可包括支承着室10的第一部分和支承着真空泵100的单独的嵌套部分。这样一个装置,使得真空泵100从室10上缩回,因而便于维修真空泵,或用另一台泵将该泵替换到另一个嵌套部分上。
闸阀110与真空泵100,以如同等离子发生源组件与基片支承件组件使用一个共同的真空密封共界面一样的方式,连接着室10,该共界面包括至少一个O形环、一条接纳O形环的槽以及把O形环压入该槽中的一个密封面。例如,阀闸110可包括位于室的每一侧上的一个螺栓模式(例如5个螺栓为一排),用以将闸阀110连接在室10上,使至少一个O形环及射频防护件处于这二者之间。为了对齐的目的,真空泵100可使用一个定心环直接连接着室10或闸阀110,其中,该定心环的一个凸缘装入真空泵反面与闸阀或室中的配合凹陷中。如果在室中要采用的处理需要低压,真空口20可用适当的密封装置密封,而室则可用连接在辅助开口例如室侧壁中的开口144上的一个机械泵保持在合乎要求的压力状况下。
可依基片类型(例如平面显示器基片、半导体晶片,等等)、基片尺寸(例如300×600mm的玻璃基片、4、6、8或12英寸的晶片,等等)以及要在室中进行的处理,将各种不同的基片支承件安装在室10中。依据处理,可最好使用一个机械夹头,静电夹头,或省去夹接。而且,处理可能需要对基片进行不同程度的冷却或加热。另外,在基片位置有变化的多步骤处理中,基片支承件或等离子发生源可以包括一个光学机构,以调节基片支承件相对于等离子发生源的位置(例如用于金属腐蚀的11cm的间隙、用于氧化物腐蚀的8cm的间隙,等等)。而且,基片支承件可以包括一个起模顶杆装置例如气动或电缆驱动的起模顶杆机构,其中,起模顶杆安装在一块提升机构板上或单独由电缆组件驱动。对于较大的基片(例如300mm的晶片和玻璃板)而言,起模顶杆机构可使用三个一组的起模顶杆机构,其中,那些起模顶杆位于一个圈上并彼此隔开120度,起模顶杆彼此是独立的且分别由一个电缆装置驱动,该装置通常公开于美国专利申请系列第08/623800号中,该公开件在此一并作为参考。
对于某些处理而言,可最好将机械泵连接在室的侧壁中的一个辅助开口上。例如,一条真空管道(例如3/4或1/5英寸的管道)可连接在辅助开口144上,以便在启动真空泵100之前将室10抽空。另外,可最好把机械泵换为真空泵100,在此情况下,适当的一些真空管道连接,可用来将机械泵的入口(例如4英寸的入口)与闸阀110连接,或直接与较大的真空口20连接。
图9显示组合等离子发生源170,它可用来均质地腐蚀氧化层。真空发生源170包括一对反向的弯曲矩形电极172、174,这对电极装得贴靠在绝缘的圆筒176外面。工艺气体被导入圆筒176中,并由供往电极172、174的射频能量激励为等离子状态。圆筒可以能拆卸地连接在支承在板180的一个锥体178上。因此,在室10上游产生的等离子,可通过流动通道186而供应。板180以真空密封方式可拆卸地连接在室的上端壁上。例如,O形环182及周围的射频防护件184,位于板180相反的表面与室10之间。同样地,为了密封其他部件,O形环与射频密封垫位于锥体178的一端与板180之间,以及锥体178的另一端与圆筒176之间。由于电极172、174之间产生了激烈的等离子,圆筒176的内表面就被等离子剥蚀,且可拆卸地安装的圆筒176可以周期性地替换。
室最好通过闸阀而与真空泵送装置绝缘。闸阀可连接在真空泵所用的组合安装装置上,或可直接连接在室上。在一个推荐实施例中,闸阀包括一块板,该板由室移离一个敞开位置而移往一个闭合位置,从而与室密封地啮合。这种类型的适当闸阀,可从一家瑞士公司VAT得到。
根据本发明的又一个方面,在室中组装或替换衬圈。例如,让室敞开着,即可将一个处理衬圈的相容材料通过一个进口滑入室中,并用适当的紧固件将衬圈定位,或仅仅将其连接在入口的一个盖子上而定位。衬圈可包括一些适当的孔口,这些孔口与室中的不同孔口对齐。根据一个推荐实施例,衬圈支承在室中的一些栓钉上,并由等离子发生源所用的组合安装装置定位。衬圈材料可包括任何真空和/或处理相容材料,例如金属(如铝),绝缘材料(如石英、铝土、氮化铝,等等),涂覆材料(如阳极铝),等等。在用金属衬圈的情况下,它可以是用电浮动的,或可以是接地的。另外,衬圈可包括一个温度控制机构,例如供温度控制流体流动的一条或几条通道,一个或几个加热器如一个或几个电阻加热元件,等等。
室的设计,允许在保持室内压力合乎要求的同时,使工艺气体高速流动。这种流动,是由于室壁上装了悬臂夹具这种设计而实现的,这种设计,使得基本上对称的气体流经室内,并腾出了室的一个壁上的空间,该壁与等离子发生源所在的壁相对着。因而,就可提供一个大的真空口,它的截面面积,大于基片支承件的截面面积。
根据本发明的一个推荐实施例,基片支承件被一个或几个从室的侧壁延伸的支承臂固定在或邻近于圆形真空室的中央。支承臂最好连接着基片支承件的一个侧壁,且其截面面积小于基片支承件的支承面截面面积,以便使流经室内的非均匀的气体最少,即让均匀流动的气体分解而流往位于室的一个端壁中的一个真空口。为了达到最优化的流动,基片支架与室的侧壁内表面之间的流通面积,最好是基片支架和/或真空口截面面积的至少约0.3倍,更好则是约0.5倍,而最最好是等于或大于此截面面积。例如,根据本发明的一个实施例,流通面积可以是基片支承件截面面积的1至2倍。另外,最靠近暴露的基片表面平面的支承臂外周边的表面,在下游是有间隔的,例如隔开至少1/2英寸,最好为支承臂厚度的至少1/2。
在用于8英寸的半导体晶片的基片支承件情况下,真空口可包括一个大的孔口,例如被大小适当的闸阀所密封的直径为10英寸至1英尺的孔口。支承臂的形状与大小,便于使泵送的不对称性最小。例如,一个圆形支承臂直径可为2至3英寸;或一个非圆形例如椭圆形或矩形的支承臂,其宽度截面为2至5英寸。
室侧壁内表面与基片支承件外周边之间那个环形间隙的截面面积,最好大于晶片和/或真空口的截面面积。例如,在8英寸晶片的情况下,基片支承件的总直径为12英寸,而室的直径为18英寸。在这种情况下,室侧壁与基片支承件之间3英寸宽的流通面积,就提供了截面流通面积约为140平方英寸的一条环形流动通道,与之相比基片支架的截面面积为110平方英寸。
在12英寸晶片的情况下,如果基片支承件的直径为14英寸且室的直径为18英寸,环形流通面积的截面面积(约为100平方英寸),就比基片支架的(约为150平方英寸)小。尽管这样,一种室设计可以为某些用途提供合乎要求的气体流动,但通过减小基片支承件的尺寸和/或加大室的尺寸,例如使基片支承件的直径小些如小于约12.5英寸和/或使室的直径尺寸大些如大于约20英寸,就能达到在低压状态下改进的高流量。
基片支承件与真空口之间的流通面积,最好大于基片支承件与室的内侧壁表面之间的环形流通面积。例如,如果室侧壁与基片支架被隔开一个3英寸宽的环形间隙,基片支架端壁与真空口之间的距离最好超过3英寸,例如4英寸以上。为了增大基片支承件与真空口之间的流通面积,面对着真空口的基片支承件端壁的外周边,可以是圆形的或锥形的,以及/或者真空口所在的室的端壁中的孔口可以是圆形的或锥形的。
具有上述各种关系,在一种基片例如8英寸或12英寸的晶片整个暴露的表面中,压力变量小于10%,最好小于5%,而更好是小于1%,就可达到,同时,使室保持在压力小于100毫乇,例如为1至50毫乇的状况下。基片表面的泵送速度,通常约为真空泵泵送速度(例如2000l/sec.)的一半(例如1000l/sec.)。另外,基片表面的压力,依流入室中的工艺气体的量以及真空泵从室中抽出游离气体的能力而定。例如,如果真空泵的泵送速度为1000l/sec.,气体以80sccm的工艺气体流量,当气体游离为等离子状态时,气体的体积基本上会翻倍,且真空泵仅可以在室中提供约2毫乇的真空。由于某些处理采用200至300sccm的工艺气体,一旦气体的体积游离且翻倍,真空泵仅可以提供约6毫乇的真空。
如图10所示,真空处理设备的底脚,由于提供一个有角度的侧壁就可减小,基片支承件70就支承在这个侧壁上。例如,支承臂80不是顺着与侧壁垂直的方向延伸,而是可以在基片支承件70与非竖直取向的侧壁190之间的一个角度上延伸。这样一种装置,由于室的底端尺寸减小,而只占较小的地面空间,且可以到达围绕着基片支承件的室的整个周边。
尽管已参照一些实施例详细说明了本发明,但要明白,本专业人员可做各种不同的修改,且可在不违背本发明的宗旨与范围的前提下采用一些相关的东西。

Claims (22)

1.一种真空处理室的通用壳体,包括:
一个具有第一、第二端壁以及在端壁之间延伸的一个侧壁的室,该室包括一个穿通侧壁的侧壁孔口,该侧壁孔口具有一个支承模件安装装置,该支承模件安装装置可拆卸地连接着一个适配的基片支承件装配模件,其特征在于:所述室包括一个等离子发生源装配模件。
2.根据权利要求1所述的通用壳体,其特征在于:室包括一个穿通第一端壁的第一孔口,该第一孔口有一个可拆卸地连接着所述等离子发生源装配模件的源模件安装装置。
3.根据权利要求2所述的通用壳体,其特征在于:室包括一个穿通第二端壁的第二孔口,该第二孔口有一个可拆卸地连接着适配的真空泵装配模件的泵模件安装装置。
4.根据权利要求3所述的通用壳体,其特征在于:支承模件安装装置与基片支承件装配模件接合,源模件安装装置与所述等离子发生源装配模件接合,且泵模件安装装置与所述真空泵装配模件接合。
5.根据权利要求3所述的通用壳体,其特征在于:侧壁孔口以及第一孔口与第二孔口,被一个真空密封件及射频防护件密封。
6.根据权利要求2所述的通用壳体,其特征在于:源模件安装装置与具有基本为平面的射频天线及一个绝缘窗的所述等离子发生源装配模件接合,第一孔口被第一与第二凹陷表面限定,第一凹陷表面在第二凹陷表面的里面,且一个O形环密封件被压缩在等离子发生源装配模件与每个凹陷表面之间。
7.根据权利要求1所述的通用壳体,其特征在于:支承模件安装装置与具有一个支承臂和一个基片支承件的悬臂基片支承件装配模件接合,支承臂与基片支承件的尺寸被定得穿通侧壁孔口,用以把基片支承件组装在室内。
8.根据权利要求3所述的通用壳体,其特征在于:室的侧壁包括一个筒形侧壁,泵模件安装装置与一个闸阀或真空泵配合,第二孔口是圆形的且其限定的流通面积至少是由室的筒形侧壁限定的最大剖面面积的1/3。
9.根据权利要求7所述的通用壳体,其特征在于:处理室是一个高密度等离子处理室,其中,在邻接着基片支承件的一个区域提供高密度的等离子,基片支承件包括一个夹接装置,该装置把半导体基片夹在基片支承件的支承面上。
10.根据权利要求1所述的通用壳体,其特征在于:第二孔口包括一个在室的第二端壁的中央部位的真空口,第二端壁被一个敞开区域与基片支承件装配模件的基片支承件隔开,真空口连接着一个真空泵,该泵将气体从室的内部抽出并将室保持在低于100毫乇的压力状态下。
11.根据权利要求1所述的通用壳体,其特征在于:基片支承件装配模件包括一个安装凸缘及一个支承臂,安装凸缘连接着室的外表面,支承臂的一端连接着安装凸缘且相反的一端连接着基片支承件。
12.根据权利要求11所述的通用壳体,其特征在于:安装凸缘包括装在室的侧壁中的侧壁孔口内的一个部分。
13.根据权利要求12所述的通用壳体,其特征在于:侧壁孔口是锥形的,从而该孔口顺着朝向室的内部的方向尺寸缩小,该部分是锥形的,从而侧壁孔口的配合面与该部分形成锥形的配合。
14.根据权利要求12所述的通用壳体,其特征在于:侧壁包括一个围绕着基片支承件的筒形表面,室侧壁中的侧壁孔口延伸得穿过该筒形表面,那个部分包括面对着室的内部的一个曲面,该曲面的边缘沿着筒形表面中侧壁孔口的边缘。
15.根据权利要求11所述的通用壳体,其特征在于:支承臂的尺寸,使得工艺气体经过基片支承件外周边与室的侧壁之间的一个环形间隙的流动,基本上是均匀的。
16.根据权利要求1所述的通用壳体,其特征在于:室包括一个真空泵装配模件及一个基片支承件装配模件,该等离子发生源装配模件与另一个等离子发生源装配模件可互换,该真空泵装配模件与另一个真空泵装配模件可互换,且该基片支承件装配模件与另一个基片支承件装配模件可互换。
17.根据权利要求3所述的通用壳体,其特征在于:第二孔口包括一个用以将室抽真空的真空口,该真空口由一个敞开空间而与基片支承件隔开,基片支承件由一个环形间隙而与室的侧壁隔开,该环形间隙的截面流通面积至少是基片支承件截面面积的0.3倍,且敞开空间的截面流通面积大于环形间隙的流通面积。
18.根据权利要求1所述的通用壳体,其特征在于:室包括一个可拆卸地支承在室内的衬圈。
19.根据权利要求1所述的通用壳体,其特征在于:所述基片支承件装配模件包括一个基片支承件,该基片支承件包括一个静电夹头。
20.根据权利要求4所述的通用壳体,其特征在于:  基片支承件装配模件包括一个支承臂和一个基片支承件,该基片支承件包括若干延伸得从其中穿过的操作管道,这些操作管道,向着或从基片支承件供应气体冷却剂、液体冷却剂、射频能量、压缩空气、电监测信号或电启动信号中的至少一种。
21.根据权利要求17所述的通用壳体,其特征在于:环形间隙的截面流通面积至少是基片支承件截面面积的0.5倍。
22.根据权利要求17所述的通用壳体,其特征在于:环形间隙的截面流通面积大于基片支承件的截面面积。
CN97195274A 1996-06-05 1997-06-02 真空处理室的通用壳体 Expired - Fee Related CN1121057C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/658,261 US5820723A (en) 1996-06-05 1996-06-05 Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US08/658,261 1996-06-05

Publications (2)

Publication Number Publication Date
CN1225747A CN1225747A (zh) 1999-08-11
CN1121057C true CN1121057C (zh) 2003-09-10

Family

ID=24640548

Family Applications (1)

Application Number Title Priority Date Filing Date
CN97195274A Expired - Fee Related CN1121057C (zh) 1996-06-05 1997-06-02 真空处理室的通用壳体

Country Status (7)

Country Link
US (1) US5820723A (zh)
EP (1) EP0902960B1 (zh)
JP (1) JP4023630B2 (zh)
KR (1) KR100429581B1 (zh)
CN (1) CN1121057C (zh)
AU (1) AU3216597A (zh)
WO (1) WO1997047022A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102903605A (zh) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 半导体处理装置及控制方法
CN102903604A (zh) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 掀开式半导体处理装置
US10283389B2 (en) 2011-07-29 2019-05-07 Wuxi Huaying Microelectronics Technology Co., Ltd Adjustable semiconductor processing device and control method thereof

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
KR100296692B1 (ko) 1996-09-10 2001-10-24 사토 도리 플라즈마cvd장치
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6182851B1 (en) 1998-09-10 2001-02-06 Applied Materials Inc. Vacuum processing chambers and method for producing
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
DE59913736D1 (de) * 1999-05-16 2006-09-14 Inpro Innovations Gmbh Vorrichtung zur Plasmapolymerisation von Hohlkörperchargen in Mehrstückbearbeitung
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6395095B1 (en) 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
JP4660926B2 (ja) * 2001-01-09 2011-03-30 東京エレクトロン株式会社 枚葉式の処理装置
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6853953B2 (en) * 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
TWI294155B (en) 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
JP2004296835A (ja) * 2003-03-27 2004-10-21 Applied Materials Inc ダマシン構造を形成する方法
WO2004095530A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US7008877B2 (en) * 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
US7013956B2 (en) * 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
JP4447279B2 (ja) * 2003-10-15 2010-04-07 キヤノンアネルバ株式会社 成膜装置
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7500822B2 (en) * 2004-04-09 2009-03-10 Edwards Vacuum, Inc. Combined vacuum pump load-lock assembly
US8648977B2 (en) 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US8206075B2 (en) * 2004-06-02 2012-06-26 Applied Materials, Inc. Methods and apparatus for sealing a chamber
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US8540843B2 (en) * 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7392825B2 (en) * 2005-07-15 2008-07-01 Edwards Vaccum, Inc. Arrangement for tool equipment
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP4973267B2 (ja) * 2007-03-23 2012-07-11 東京エレクトロン株式会社 基板搬送装置、基板搬送モジュール、基板搬送方法及び記憶媒体
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
US20090298300A1 (en) * 2008-05-09 2009-12-03 Applied Materials, Inc. Apparatus and Methods for Hyperbaric Rapid Thermal Processing
US20090325340A1 (en) * 2008-06-30 2009-12-31 Mohd Aslami Plasma vapor deposition system and method for making multi-junction silicon thin film solar cell modules and panels
CN101351076B (zh) 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
KR101031315B1 (ko) * 2008-09-17 2011-04-29 에이피시스템 주식회사 기판 처리 시스템
WO2011065965A2 (en) * 2009-11-30 2011-06-03 Lam Research Corporation An electrostatic chuck with an angled sidewall
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102737955B (zh) * 2011-04-15 2015-04-15 无锡华瑛微电子技术有限公司 一种半导体处理装置
CN102738031B (zh) * 2011-04-15 2015-02-04 无锡华瑛微电子技术有限公司 包含可抽拉腔室的半导体处理装置
CN102738034B (zh) * 2011-04-15 2014-12-31 无锡华瑛微电子技术有限公司 包含处理流体泄漏回收结构的半导体处理装置
CN102738032B (zh) * 2011-04-15 2014-12-31 无锡华瑛微电子技术有限公司 可校正工作面平整性的半导体处理装置
CN102738033B (zh) * 2011-04-15 2014-11-26 无锡华瑛微电子技术有限公司 包含改进立柱结构的半导体处理装置
US8951350B2 (en) * 2011-05-03 2015-02-10 United Technologies Corporation Coating methods and apparatus
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8916055B2 (en) * 2011-08-02 2014-12-23 Tokyo Electron Limited Method and device for controlling pattern and structure formation by an electric field
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN102581279A (zh) * 2012-02-21 2012-07-18 江苏豪然喷射成形合金有限公司 喷射成形设备的沉积箱
DE102012103938A1 (de) * 2012-05-04 2013-11-07 Reinhausen Plasma Gmbh Plasmamodul für eine Plasmaerzeugungsvorrichtung und Plasmaerzeugungsvorrichtung
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140250658A1 (en) * 2013-03-05 2014-09-11 Applied Materials, Inc. Vacuum chambers and components for semiconductor substrate processing and methods of fabrication
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014182333A1 (en) * 2013-05-09 2014-11-13 Fomani Arash Akhavan Vacuum pumps for producing adsorbate-free surfaces
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
WO2015156951A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180005851A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Chamber filler kit for dielectric etch chamber
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10413913B2 (en) 2017-02-15 2019-09-17 Tokyo Electron Limited Methods and systems for dielectrophoresis (DEP) separation
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102401446B1 (ko) * 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210012494A (ko) * 2019-07-25 2021-02-03 삼성전자주식회사 리프트 핀 정렬 방법 및 정렬 장치 그리고 기판 처리 장치
US11339466B2 (en) * 2020-03-20 2022-05-24 Applied Materials, Inc. Heated shield for physical vapor deposition chamber
KR102599086B1 (ko) * 2021-07-30 2023-11-07 주식회사 에이치앤이루자 기판 처리 장치의 커버 유닛

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1118775B (it) * 1979-06-12 1986-03-03 Agusta Aeronaut Costr Microscopio elettronico a scansione a camera scomponibile particolarmente per l osservazione di pezzi di dimensioni relativamente elevate
JPS6029295B2 (ja) * 1979-08-16 1985-07-10 舜平 山崎 非単結晶被膜形成法
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
GB2147459A (en) * 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
GB8623453D0 (en) * 1986-09-30 1986-11-05 Tecvac Ltd Ion implantation
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
NL8902568A (nl) * 1989-10-17 1991-05-16 Philips Nv Vacuuem systeem voorzien van een evacueerbaar huis, een objecthouder en een losneembaar daarmee gekoppelde objectdrager.
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP2619565B2 (ja) * 1990-11-05 1997-06-11 株式会社日立製作所 電子ビーム描画装置
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102903605A (zh) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 半导体处理装置及控制方法
CN102903604A (zh) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 掀开式半导体处理装置
CN102903605B (zh) * 2011-07-29 2015-03-18 无锡华瑛微电子技术有限公司 半导体处理装置及控制方法
US10283389B2 (en) 2011-07-29 2019-05-07 Wuxi Huaying Microelectronics Technology Co., Ltd Adjustable semiconductor processing device and control method thereof

Also Published As

Publication number Publication date
US5820723A (en) 1998-10-13
JP2000513501A (ja) 2000-10-10
JP4023630B2 (ja) 2007-12-19
EP0902960B1 (en) 2010-04-21
EP0902960A1 (en) 1999-03-24
WO1997047022A1 (en) 1997-12-11
CN1225747A (zh) 1999-08-11
AU3216597A (en) 1998-01-05
KR20000016385A (ko) 2000-03-25
KR100429581B1 (ko) 2004-08-12

Similar Documents

Publication Publication Date Title
CN1121057C (zh) 真空处理室的通用壳体
US5948704A (en) High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6719886B2 (en) Method and apparatus for ionized physical vapor deposition
KR101944895B1 (ko) 대칭적인 플라즈마 프로세스 챔버
KR100349064B1 (ko) 플라즈마처리장치
US8840725B2 (en) Chamber with uniform flow and plasma distribution
US11004661B2 (en) Process chamber for cyclic and selective material removal and etching
JP3217798B2 (ja) 化学蒸着プロセスのための多目的プロセス室
US6767429B2 (en) Vacuum processing apparatus
US6863835B1 (en) Magnetic barrier for plasma in chamber exhaust
US4763602A (en) Thin film deposition apparatus including a vacuum transport mechanism
EP0658918B1 (en) Plasma processing apparatus
EP1398820A2 (en) Plasma treatment apparatus
US20080142481A1 (en) In-situ particle collector
US5522936A (en) Thin film deposition apparatus
TW202213509A (zh) 具有串接處理區域的電漿腔室
US20230402265A1 (en) Process chamber and semiconductor process device
KR102529845B1 (ko) 플라즈마 프로세싱 챔버용 열 전도성 스페이서
KR101138609B1 (ko) 효율적인 라디칼 생성을 위한 플라즈마 발생장치
KR100480342B1 (ko) 플라즈마발생소스,진공펌프장치및/또는캔티레버화된기판지지부와같은장비모듈을구비하는고유동진공챔버
KR100683255B1 (ko) 플라즈마 처리 장치 및 배기 장치
KR100686284B1 (ko) 상부 전극 유닛 및 이를 이용한 플라즈마 처리 장치
KR20050046363A (ko) 플라즈마 에칭 장치
WO2004019368A2 (en) Reduced volume plasma reactor
JPH01261829A (ja) 有機金属気相エピタキシー用基板の前処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030910

Termination date: 20160602