JP2004296835A - ダマシン構造を形成する方法 - Google Patents

ダマシン構造を形成する方法 Download PDF

Info

Publication number
JP2004296835A
JP2004296835A JP2003087893A JP2003087893A JP2004296835A JP 2004296835 A JP2004296835 A JP 2004296835A JP 2003087893 A JP2003087893 A JP 2003087893A JP 2003087893 A JP2003087893 A JP 2003087893A JP 2004296835 A JP2004296835 A JP 2004296835A
Authority
JP
Japan
Prior art keywords
layer
forming
chamber
via hole
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003087893A
Other languages
English (en)
Inventor
Hiroya Tanaka
田中 寛也
Yoshio Ishikawa
石川 吉夫
Keiji Horioka
堀岡 啓治
Yuzuru Ueda
譲 植田
Hidetaka Oshio
英隆 押尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP2003087893A priority Critical patent/JP2004296835A/ja
Priority to US10/699,157 priority patent/US20040192051A1/en
Publication of JP2004296835A publication Critical patent/JP2004296835A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Abstract

【課題】MWBCを良好に保ちつつ、SiC又はSiCNを含むバリア層を有する絶縁体部にダマシン構造を形成する方法を提供する。
【解決手段】本発明のダマシン構造を形成する方法では、SiC又はSiCNを含むバリア層44をエッチングするプロセスガスに、主としてCHFを含むプロセスガスが用いられる。CHFをバリア層44のエッチングに用いるプロセスガスとすることによって、水素原子と炭素原子を含む重合体が処理チャンバ内に堆積し難くなる。したがって、かかる方法によれば、MWBCを良好に保ちことが可能となる。
【選択図】 図3

Description

【0001】
【発明の属する技術分野】
本発明は、ダマシン構造を形成する方法に関するものである。
【0002】
【従来の技術】
集積回路における配線構造の微細化に伴い、かかる配線構造にダマシン構造を適用することが注目されている。一般にダマシン構造が形成される絶縁体部は、金属配線層上に積層されるバリア層と、バリア層上に積層される絶縁体層とを有する。ダマシン構造では、金属配線層上に積層されるバリア層及び絶縁体層にビア孔がRIE(Reactive Ion Etching)によって形成され、絶縁体層にトレンチがRIEによって形成される。ビア孔及びトレンチには配線材料がめっきされ、CMP(Chemical Mechanical Polishing)によって絶縁体層の表面が研磨されることによって、ダマシン構造が形成される。上記のバリア層は、一般にSiNによって構成される。かかるバリア層のエッチングには、SiNへの選択性を得るために、主としてCHを含むプロセスガスが用いられている(例えば特許文献1)。
【0003】
ところで、近年の配線構造の更なる微細化に伴い、絶縁体層の低誘電率化が進められており、バリア層も低誘電率化が進められている。SiNに代わる低誘電率の材料としては、SiC又はSiCNが用いられている。
【0004】
【特許文献1】
特開2000−091425号公報
【0005】
【発明が解決しようとする課題】
しかしながら、SiC又はSiCNを含むバリア層を、従来から用いられているCHを主として含むプロセスガスによってエッチングした場合に、チャンバ内に炭素原子と水素原子とを含む重合体が堆積する結果、MWBC(Mean Wafers Between Cleaning)が低下する。
【0006】
そこで本発明は、MWBCを良好に保ちつつ、SiC又はSiCNを含むバリア層を有する絶縁体部にダマシン構造を形成する方法を提供することを目的としている。
【0007】
【課題を解決するための手段】
上記目的を達成するため、本発明のダマシン構造を形成する方法は、基板上に設けられた金属配線層上に形成され、SiC又はSiCNを含む第1の層を有する絶縁体部にダマシン構造を形成する方法であって、基板が収容されるチャンバ内にCHFを含むガスを供給し、該第1のチャンバ内にプラズマを発生することによって第1の層に金属配線層へ通じるビア孔を形成する工程を備えることを特徴としている。
【0008】
かかる発明によれば、第1の層のエッチングに用いられるプロセスガスは、CHFを含み、従来から用いられているCHを含むプロセスガスに比べて、水素原子の含有量が少ない。したがって、炭素原子と水素原子との反応による重合体がチャンバ内に堆積し難いので、MWBCを良好に保つことができる。
【0009】
また、本発明のダマシン構造を形成する方法においては、絶縁体部は、第1の層上に酸化物を含む第2の層を有しており、第2の層には、ビア孔が形成されており、第1の層をエッチングする工程において、第2の層に形成されたビア孔に連続するビア孔が該第1の層に形成される。
【0010】
かかる発明によれば、CHF3を含むプロセスガスを用いることによって、第1の層を選択的にエッチングすることができる。
【0011】
また、上記目的を達成するため、本発明のダマシン構造を形成する方法は、基板上に設けられた金属配線層上に形成され、SiC又はSiCNを含む第1の層を有する絶縁体部にダマシン構造を形成する方法であって、チャンバに酸素を含む第1のガスを供給し、チャンバ内にプラズマを発生することによって、第2の層上に形成される第1のレジストマスクを除去する工程と、上記のチャンバ内にCHFを含む第2のガスを供給し、該チャンバ内にプラズマを発生することによって第1のレジストマスクが除去された基板の第1の層に金属配線へ通じるビア孔を形成する工程とことを特徴としている。
【0012】
かかる発明によれば、第1の層のエッチングに用いられるプロセスガスはCHFを含み、従来から用いられているCHを主として含むプロセスガスに比べて、水素原子の含有量が少ない。したがって、炭素原子と水素原子との反応による重合体がチャンバ内に堆積し難いので、MWBCを良好に保つことができる。また、同チャンバ内において酸素プラズマによるアッシング工程を行うので、チャンバ内に上記の重合体が堆積しても、重合体は酸素プラズマアッシングによって除去される。
【0013】
また、本発明のダマシン構造を形成する方法においては、第2の層上にビア孔を形成するための第2のレジストマスクを該第2の層上に形成する工程と、第2の層をエッチングすることによって該第2の層にビア孔を形成する工程と、酸素プラズマアッシングによって前記第2のレジストマスクを除去する工程と、第2のレジストマスクが除去された前記第2の層にトレンチを形成するための前記第1のレジストマスクを該第2の層上に形成する工程と、第2の層をエッチングすることによって該第2の層にトレンチを形成する工程とを更に備え、トレンチの形成後に、基板を上記のチャンバに収容し、該チャンバ内において第2の層上に形成された第1のレジストマスクを除去し、第1のレジストマスク除去後に、同チャンバにおいて第1の層にビア孔を形成することが好ましい。
【0014】
かかる方法では、第2の層にビア孔が形成された後、更に第2の層にはトレンチが形成される。トレンチを形成した後、第1の層にビア孔が形成されることによって、金属配線層に通じるビア孔が形成される。第1の層のエッチングにCHFを含むプロセスガスが用いられているので、上述したようにチャンバ内に重合体が堆積し難い。したがって、金属配線層の金属配線にも重合物が堆積し難いので、多層配線の抵抗値を小さく保つことができる。また、絶縁体層におけるトレンチ内壁面にも重合体が堆積し難いので、かかる重合体がマイクロマスクとなってトレンチ内壁面等がプロセスガスによって荒らされるという事態を抑制することができる。
【0015】
また、発明者は、CHFを主として含む上記のプロセスガスにOとNを更に含める場合に、かかるプロセスガスに絶縁体層のトレンチ内壁が長時間晒されることによってトレンチ内壁面が荒れた状態となり、上記のプロセスガスにOとNのいずれかを択一的に含める場合にはトレンチ内壁面が平坦に保たれるという知見を得た。かかる知見に基づき、本発明のダマシン構造を形成する方法において、第2のガスは、O及びNのいずれかを含むことを特徴としている。
【0016】
【発明の実施の形態】
本発明の第1の実施形態にかかるダマシン構造を形成する方法について添付の図面を参照して説明する。なお、以下の実施形態に関する説明においては、各図面において同一または相当の部分については同一の符号を用いる。図1は、かかる方法に用いられる基板処理装置1を概略的に示す図である。
【0017】
基板処理装置1は、いわゆる枚葉式のマルチチャンバ型である。基板処理装置1では、ベースブロック10の周囲に、複数の処理チャンバ12a,12b,12c,12d及びロードロックチャンバ14a,14bが接続されている。基板処理装置1では、ベースブロック10内の搬送チャンバ16に設けられた搬送ロボット18によって、ウェハWが一枚ずつロードロックチャンバ14a,14bと処理チャンバ12a〜12dとの間で搬送され、処理される。
【0018】
処理チャンバ12a〜12dは、それぞれ同様の構造を有する。図2は、処理チャンバ12bを概略的に示す図である。処理チャンバ12bは、反応室20を有している。反応室20には、一対の平行平板電極22及び24を有しており、電極22はウェハWを支持する支持部としての機能も有する。電極22には、インピーダンス整合器26が接続されており、インピーダンス整合器26には高周波電源部28が接続されている。高周波電源部28は、所定範囲の周波数を有する高周波電力を発生することができる。インピーダンス整合器26を介して電極22に高周波電力が供給されることによって、処理チャンバ12aでは、平行平板電極22と24との間にプラズマを発生させることができる。
【0019】
処理チャンバ12bは、ガス供給系30を有しており、ガス供給系から供給されるプロセスガスは、配管32を介して反応室20に供給される。本実施形態のガス供給系30はCHFを供給するガス供給源30aを有しているまた、処理チャンバ12aは、反応室20の減圧を行うための真空ポンプ34を有しており、真空ポンプ34は排気管36を介して反応室20に接続されている。
【0020】
以下、基板処理装置1を用いて行われる本実施形態の方法について説明する。図3(a)は、ビア孔を形成するための第1のレジストマスク50が形成された絶縁体部42を概略的に示す図である。
【0021】
絶縁体部42は、ウェハW上に設けられた金属配線層40上に形成されている。金属配線層40は、酸化物を含む絶縁体層40aに下層配線40bが形成された層である。金属配線層40の層厚は、0.4μmである。下層配線40bは、例えば、Cuによって構成される。なお、下層配線40bは、Cuに限らず、AlやWによって構成されても良い。
【0022】
絶縁体部42は、バリア層44と、第1絶縁体層46と、第2絶縁体層48とを有する。バリア層44は、金属配線42上に形成されている。バリア層44は、SiCを含む層である。バリア層44の層厚は、0.05μmである。第1絶縁体層46は、バリア層44上に形成されている。第1絶縁体層46の層厚は0.3μmである。第1絶縁体層46は、低誘電率の絶縁体層である。第1絶縁体層46を構成する材料としては、SiOCが例示される。上記のバリア層44は、SiOCを基板上に成長させる際に、金属配線層40の銅配線40aとの反応を抑制するために設けられている。また、バリア層44は、第1絶縁体層46をエッチングする際のエッチングストッパとしての機能を有している。なお、バリア層44を構成する材料は、SiCNであっても良い。また、第1絶縁体層46を構成する材料として、SiOCに代えて、フルオロケイ酸塩ガラス(FSG)を用いることができる。
【0023】
第2絶縁体層48は、TEOS(Tetra Ethyl Ortho Silicate)によって形成される絶縁膜である。第2絶縁体層48の層厚は0.2μmである。第2絶縁体層48を構成する材料には、この他にスピンオンガラス(SOG)を用いることができる。
【0024】
本実施形態の方法においては、まず、図3(a)に示すように、絶縁体部42の第2絶縁体層48上には、ビア孔を形成するために開口された第1のレジストマスク50が形成される。
【0025】
次の工程においては、絶縁体部42において第2絶縁体層48と第1絶縁体層46とにビア孔52が形成される。図3(b)は、ビア孔52を形成する工程を概略的に示す図である。かかる工程は、図1に示す処理チャンバ12aにおいて行われる。処理チャンバ12aのガス供給系30はガス供給源30fを有している。ガス供給源30fから供給されるプロセスガスとしては、CFが例示される。ガス供給源30fから反応室20に、プロセスガスが供給され、平行平板電極22と24の間にプラズマが形成される。電極22上に載置される絶縁体部42の第1絶縁体層46及び第2絶縁体層46は、プロセスガスの活性種との反応によってエッチングされ、絶縁体部42にビア孔52が形成される。なお、バリア層44に対して第1絶縁体層46への選択性を得る為に、第1絶縁体層46のエッチングによってビア孔52がバリア層44に至る直前に、第1絶縁体層46のエッチングに用いるプロセスガスをCFからCとNとの混合ガスに切り替えても良い。
【0026】
次の工程においては、アッシング装置70を用いて、第1レジストマスク50が酸素プラズマアッシングにより除去される。図3(c)は、第1のレジストマスクを除去する工程を概略的に示す断面図である。アッシング装置70では、酸素供給源70aから酸素がチャンバ内に供給され、チャンバ内にプラズマが形成されることによって、第1のレジストマスクが除去される。
【0027】
次の工程においては、第1絶縁体層46及び第2絶縁体層48にトレンチを形成するためのマスクが形成される。図3(d)は、トレンチを形成するためのマスクが形成された絶縁体部42の断面図である。本工程においては、トレンチを形成するために開口された第2レジストマスク54が第2絶縁体層48上に形成される。そして、BARCと称される第2レジストマスク54と同様の材料によって構成されるマスク56によって、ビア孔52が埋められる。
【0028】
次の工程においては、第2レジストマスク54が形成された絶縁体部42をエッチングすることによってトレンチ58が形成される。図3(e)は、トレンチ58を形成する工程を概略的に示す図である。トレンチは、第2絶縁体層48から第1絶縁体層46の所定の深さまで形成される。かかる工程も処理チャンバ12aにおいて行われる。また、この工程に用いられるプロセスガスは、ビア孔52の形成に用いられるプロセスガスと同様である。
【0029】
次の工程においては、トレンチ形成用の第2レジストマスク54及びマスク56が除去される。図3(f)は、トレンチ形成用のマスクを除去する工程を概略的に示す図である。かかる工程もアッシング装置70を用いて行われ、酸素プラズマアッシングによって第2レジストマスク54及びマスク56が除去される。
【0030】
次の工程においては、バリア層44にビア孔52が形成される。ビア孔52は、金属配線層40の下層配線40bに通じる孔とされる。図3(g)は、バリア層44にビア孔52を形成する工程を概略的に示す断面図である。かかる工程は、処理チャンバ12bにおいて行われる。処理チャンバ12bでは、ガス供給源30aから反応室20にCHFを含むプロセスガスが供給される。CHFを用いることによって、バリア層44を選択的にエッチングすることができる。
【0031】
以上の工程を経た絶縁体部42は、ウェットクリーニングが施され、絶縁体部42にCuめっきが施されることによって、ビア孔52及びトレンチ58にCuが埋め込まれる。そして、第2絶縁体層48の表面上のCuがCMPによって除去される。
【0032】
以下、第1実施形態の方法の作用及び効果について説明する。第1実施形態の方法によれば、バリア層44をエッチングするためのプロセスガスには、CHFが含まれる。CHFは、従来用いられていたプロセスガスのCHより、水素原子と炭素原子とを含む重合体を発生し難く、チャンバ12bの反応室20内に重合体が堆積し難い。したがって、チャンバ12bによるMWBCが良好に保たれる。
【0033】
図4(a)は、初期状態のチャンバ12bの反応室20の状態を示す。図4(b)は、図4(a)に示すチャンバ12bの反応室20が、CHFをプロセスガスとして2時間のエッチングプロセスを経た状態を示す。図4(c)は、図4(a)と同様に初期状態のチャンバの反応室の状態を示し、図4(d)は、図4(c)に示すチャンバの反応室がCHFをプロセスガスに2時間のエッチングプロセスを経た状態を示す。
【0034】
図4(d)に示されるように、CHがプロセスガスに用いられると、2時間のエッチング工程を経過した時点で、反応室内には過剰に重合物が堆積する。これに比して、図4(b)に示されるように、CHFがプロセスガスに用いられると、2時間のエッチングプロセスを経ても、反応室20には重合体が堆積し難く、図4(a)に示す初期状態と同様の状態が維持されている。
【0035】
本発明の第2実施形態にかかるダマシン構造を形成する方法について説明する。図5は、第2実施形態の方法に用いられる基板処理装置1の処理チャンバ12bを概略的に示す図である。かかる処理チャンバ12bは、ガス供給系30から供給するプロセスガスを切り替えることができる。チャンバ12bのガス供給系30は、CHFを含むプロセスガスを供給するガス供給源30aと、酸素を供給するためのガス供給源30bとを有しており、CHFを含むプロセスガスと酸素を含むプロセスガスとを切り替えて反応室20に供給することができる。したがって、チャンバ12bを用いることによって、酸素プラズマアッシングと、CHF含むプロセスガスによるエッチングを行うことができる。酸素プラズマアッシングとエッチングとを一つの処理チャンバ内で行うことができる基板処理装置としては、例えば、US,Patent,No.6,500,357に記載の基板処理装置を用いることができる。
【0036】
図6は本実施形態の工程を概略的に示す図である。本方法では、図6(f)に示される酸素プラズマアッシングによる第2のレジストマスク54及びマスク56のアッシング工程と、図6(g)に示されるCHFによるバリア層44のビア孔52のエッチング工程とが、一つのチャンバ12b内において行われる。その他の工程については第1実施形態の方法と同様であるので、説明を省略する。
【0037】
以下、第2実施形態の方法の作用及び効果を説明する。かかる方法によれば、バリア層44のエッチングに用いられるプロセスガスには、CHFが含まれので、チャンバ12bの反応室20内に重合体が堆積し難い。したがって、チャンバ12bによるMWBCが良好に保たれる。さらに、チャンバ12bでは、反応室20に重合体が堆積しても、酸素プラズマアッシングによって除去される。
【0038】
また、反応室20に重合体が堆積し難いので、バリア層44のビア孔52が形成された後に、金属配線層40の下層配線40b表面に重合体が堆積し難い。したがって、めっきによって形成される下層配線40bを含む多層配線の抵抗値が、低く保たれる。
【0039】
図7は、本方法によって製造される絶縁体部における多層配線と、CHを含むガスによりバリア層がエッチングされた場合の絶縁体部における多層配線の各々のチェーンレジスタンスを計測した結果を示すグラフである。
【0040】
図7に示すグラフでは、横軸はチェーンレジスタンスであり、縦軸は累積確率である。図7のグラフから明確なように、CHによってバリア層がエッチングされた多層配線のチェーンレジスタンスは高い。一方、CHFによってバリア層44がエッチングされる本方法によれば、製造されるダマシン構造の多層配線のチェーンレジスタンスは低い値となっており、また、チェーンレジスタンスのバラツキが小さいことは明らかである。
【0041】
また、本方法によれば、CHFによってバリア層44のビア孔52が形成される際、プロセスガスに曝される第2絶縁体層48の表面、トレンチ52の内壁面の荒れを抑制することができる。
【0042】
図8(a)は、本方法によって製造される絶縁体部42の断面図である。図8(b)は、本方法によって製造されるトレンチ58の底の第1絶縁体層46の断面を示す走査電子顕微鏡(SEM)写真である。図8(c)は、従来方法、すなわちCHを含むプロセスガスによってバリア層にビア孔が形成された絶縁体部のうちトレンチの底の第1絶縁体層の断面を示すSEM写真である。従来方法では、バリア層にビア孔が形成される際に、トレンチの底の第1絶縁体層の面に重合体がマイクロマスクとして付着する。マイクロマスクが付着した状態において、バリア層のエッチングに用いられるプロセスガスに曝される結果、図8(c)に示されるようにトレンチの底の第1絶縁体層の面が荒れた状態となる。
【0043】
一方、本方法によれば、重合体が発生し難いので、トレンチ58底の第1絶縁体層46の面60に重合体が付着し難い。したがって、図8(b)に示されるように、バリア層44のエッチングを行っても、面60が平坦に保たれている。
【0044】
以下、第3実施形態にかかるダマシン構造を形成する方法について説明する。図9は、第3実施形態の方法に用いられる基板処理装置1の処理チャンバ12bを概略的に示す図である。かかる処理チャンバ12bのガス供給系30は、CHFを供給するガス供給源30aと、Oを供給するガス供給源30bと、Nを供給するガス供給源30cと、Arを供給するガス供給源30dとを有している。ガス供給系30は、反応室20に供給するガスを切り替えることができる。
【0045】
図10は本実施形態の工程を概略的に示す図である。図10(g)に示すように、本実施形態の方法では、バリア層44のエッチングに用いられるプロセスガスには、CHFとOが含まれる点が、第2実施形態の方法と異なる。本方法のその他の工程は、第2実施形態の方法と同様であるので、説明を省略する。なお、上記のOに代えて、Nを用いることができる。また、上記のプロセスガスには、Arが含まれていても良い。
【0046】
以下、第3実施形態の方法の作用及び効果を説明する。本方法によれば、バリア層44にビア孔52をエッチングするためのプロセスガスには、OとNが択一的に含まれる。CHFとOとNとを含むプロセスガスによって、バリア層44がエッチングされる際、かかるプロセスガスに長時間曝されると、第2絶縁体層48の表面、トレンチ52の内壁面が荒れた状態となる。
【0047】
一方、OまたはNが択一的に含まれるプロセスガスを用いてバリア層44にビア孔52を形成する工程が行われても、第2絶縁体層48の表面、トレンチ52の内壁面は平坦に保たれる。
【0048】
図11(a)は、本方法によって製造される絶縁体部42の断面図である。図11(b)は、絶縁体部42のトレンチ58の底における第1絶縁体層46の断面を示すSEM写真であり、CHF:N=30:50のプロセスガスによってバリア層44がエッチングされた状態を示す。図11(c)は、同様の絶縁体部のトレンチの底における第1絶縁体層の断面を示すSEM写真であり、CHF:O:N=30:5:50のプロセスガスによってバリア層がエッチングされた状態を示す。図11(d)は、絶縁体部42のトレンチ58の底における第1絶縁体層46の断面を示すSEM写真であり、CHF:O=30:10のプロセスガスによってバリア層44がエッチングされた状態を示す。図11(e)は、同様の絶縁体部におけるトレンチの底の第1絶縁体層の断面を示すSEM写真であり、CHF:O:N=30:10:50のプロセスガスによってバリア層がエッチングされた状態を示す。図11(f)は、絶縁体部42のトレンチ58の底における第1絶縁体層46の断面を示すSEM写真であり、CHF:O:Ar=30:10:50のプロセスガスによってバリア層44がエッチングされた状態を示す。
【0049】
図11(c)及び(e)に示されるように、CHFとOとNとを含むプロセスガスによってバリア層にビア孔がエッチングされると、かかるプロセスガスに長時間曝されることによってトレンチの底の第1絶縁体層の面が荒れた状態となる。
【0050】
一方、NまたはOが択一的に含まれるプロセスガスによってバリア層44がエッチングされても、図11(b)または図11(d)に示されるように、トレンチ58底の第1絶縁体層46の面60は平坦に保たれる。また、CHFにNまたはOが択一的に含まれるプロセスガスにArが含まれるるプロセスガスによってバリア層44がエッチングされても、図11(f)に示されるように面60は平坦に保たれる。
【0051】
以上説明した第1、第2、及び第3の実施形態の方法は、デュアルダマシン構造を形成する方法に関するものである。SiCまたはSiCNを含むバリア層のエッチングがCHFを主として含むプロセスガスによって行われるという本発明の思想は、デュアルダマシン構造に限らずシングルダマシンを形成する方法にも適用され得る。
【0052】
【発明の効果】
以上説明したように、本発明によれば、MWBCを良好に保ちつつ、SiC又はSiCNを含むバリア層を有する絶縁体部にダマシン構造を形成する方法が提供される。
【図面の簡単な説明】
【図1】図1は、基板処理装置を概略的に示す図である。
【図2】図2は、第1実施形態にかかる基板処理装置の処理チャンバを概略的に示す図である。
【図3】図3(a)は、ビア孔を形成するための第1のレジストマスクが形成された絶縁体部を概略的に示す図である。
図3(b)は、ビア孔を形成する工程を概略的に示す図である。
図3(c)は、第1レジストマスクが除去された基板の断面図である
図3(d)は、トレンチを形成するためのマスクが形成された絶縁体部の断面図である。
図3(e)は、トレンチを形成する工程を概略的に示す図である。
図3(f)は、トレンチ形成用のマスクを除去する工程を概略的に示す図である。
図3(g)は、バリア層にビア孔を形成する工程を概略的に示す断面図である。
【図4】図4(a)は、初期状態のチャンバの反応室の状態を示す。
図4(b)は、図4(a)に示すチャンバの反応室がCHFをプロセスガスに2時間のエッチングを経た状態を示す。
図4(c)は、初期状態のチャンバの反応室の状態を示す。
図4(d)は、図4(c)に示すチャンバの反応室がCHをプロセスガスとして2時間のエッチングを経た状態を示す。
【図5】図5は、第2実施形態にかかる基板処理装置の処理チャンバを概略的に示す図である。
【図6】図6(a)は、ビア孔を形成するための第1のレジストマスクが形成された絶縁体部を概略的に示す図である。
図6(b)は、ビア孔を形成する工程を概略的に示す図である。
図6(c)は、第1レジストマスクが除去された基板の断面図である
図6(d)は、トレンチを形成するためのマスクが形成された絶縁体部の断面図である。
図6(e)は、トレンチを形成する工程を概略的に示す図である。
図6(f)は、トレンチ形成用のマスクを除去する工程を概略的に示す図である。
図6(g)は、バリア層にビア孔を形成する工程を概略的に示す断面図である。
【図7】図7は、第2実施形態の方法によって製造される多層配線のチェーンレジスタンスの計測結果を示すグラフである。
【図8】図8(a)は、第2実施形態の方法によって製造される基板の断面図である。
図8(b)は、第2実施形態の方法によって製造される基板のうち、トレンチの底の第1絶縁体層の断面を示すSEM写真である。
図8(c)は、従来方法によって製造される基板のうち、トレンチの底の第1絶縁体層の断面を示すSEM写真である。
【図9】図9は、第3実施形態にかかる基板処理装置の処理チャンバを概略的に示す図である。
【図10】図10(a)は、ビア孔を形成するための第1のレジストマスクが形成された絶縁体部を概略的に示す図である。
図10(b)は、ビア孔を形成する工程を概略的に示す図である。
図10(c)は、第1レジストマスクが除去された基板の断面図である
図10(d)は、トレンチを形成するためのマスクが形成された絶縁体部の断面図である。
図10(e)は、トレンチを形成する工程を概略的に示す図である。
図10(f)は、トレンチ形成用のマスクを除去する工程を概略的に示す図である。
図10(g)は、バリア層にビア孔を形成する工程を概略的に示す断面図である。
【図11】図11(a)は、第3実施形態の方法によって製造される基板の断面図である。
図11(b)は、トレンチの底の第1絶縁体層の断面を示すSEM写真であり、CHF:N=30:50のプロセスガスによってバリア層がエッチングされた状態を示す。
図11(c)は、トレンチの底の第1絶縁体層の断面を示すSEM写真であり、CHF:O:N=30:5:50のプロセスガスによってバリア層がエッチングされた状態を示す。
図11(d)は、トレンチの底の第1絶縁体層の断面を示すSEM写真であり、CHF:O=30:10のプロセスガスによってバリア層がエッチングされた状態を示す。
図11(e)は、トレンチの底の第1絶縁体層の断面を示すSEM写真であり、CHF:O:N=30:10:50のプロセスガスによってバリア層がエッチングされた状態を示す。
図11(f)は、トレンチの底の第1絶縁体層の断面を示すSEM写真であり、CHF:O:Ar=30:10:50のプロセスガスによってバリア層がエッチングされた状態を示す。
【符号の説明】
1…基板処理装置、12a,12b…処理チャンバ、20…反応室、22,24…平行平板電極、26…インピーダンス整合器、28…高周波電源部、30…ガス供給系、32…配管、34…真空ポンプ、36…排気管、40…基板、42…金属配線層、40b…下層配線、42…絶縁体部、44…バリア層、46…第1絶縁体層、48…第2絶縁体層、52…ビア孔、58…トレンチ。

Claims (5)

  1. 基板上に設けられた金属配線層上に形成され、SiC又はSiCNを含む第1の層を有する絶縁体部にダマシン構造を形成する方法であって、
    前記基板が収容されるチャンバ内にCHFを含むガスを供給し、該第1のチャンバ内にプラズマを発生することによって前記第1の層に前記金属配線層へ通じるビア孔を形成する工程を備えることを特徴とするダマシン構造を形成する方法。
  2. 前記絶縁体部は、前記第1の層上に酸化物を含む第2の層を有しており、
    前記第2の層には、ビア孔が形成されており、
    前記第1の層をエッチングする工程において、前記第2の層に形成されたビア孔に連続するビア孔が該第1の層に形成される
    ことを特徴とする請求項1に記載のダマシン構造を形成する方法。
  3. 基板上に設けられた金属配線層上に形成され、SiC又はSiCNを含む第1の層を有する絶縁体部にダマシン構造を形成する方法であって、
    チャンバに酸素を含む第1のガスを供給し、該チャンバ内にプラズマを発生することによって、前記第2の層上に形成される第1のレジストマスクを除去する工程と、
    前記第1のレジストマスクの除去後に、前記チャンバ内にCHFを含む第2のガスを供給し、該チャンバ内にプラズマを発生することによって、前記絶縁体部の前記第1の層に前記金属配線へ通じるビア孔を形成する工程と
    を備えることを特徴とするダマシン構造を形成する方法。
  4. 前記第2の層上にビア孔を形成するための第2のレジストマスクを該第2の層上に形成する工程と、
    前記第2の層をエッチングすることによって該第2の層にビア孔を形成する工程と、
    酸素プラズマアッシングによって前記第2のレジストマスクを除去する工程と、
    前記第2のレジストマスクが除去された前記第2の層にトレンチを形成するための前記第1のレジストマスクを該第2の層上に形成する工程と、
    前記第2の層をエッチングすることによって該第2の層にトレンチを形成する工程と
    を更に備え、
    前記トレンチの形成後に、前記基板を前記チャンバに収容し、該チャンバ内において前記第2の層上に形成された前記第1のレジストマスクを除去し、
    前記第1のレジストマスク除去後に、前記チャンバにおいて前記第1の層にビア孔を形成する
    ことを特徴とする請求項3に記載のダマシン構造を形成する方法。
  5. 前記第2のガスは、O及びNのいずれかを含むことを特徴とする請求項3または4に記載のダマシン構造を形成する方法。
JP2003087893A 2003-03-27 2003-03-27 ダマシン構造を形成する方法 Pending JP2004296835A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003087893A JP2004296835A (ja) 2003-03-27 2003-03-27 ダマシン構造を形成する方法
US10/699,157 US20040192051A1 (en) 2003-03-27 2003-10-30 Method of forming a damascene structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003087893A JP2004296835A (ja) 2003-03-27 2003-03-27 ダマシン構造を形成する方法

Publications (1)

Publication Number Publication Date
JP2004296835A true JP2004296835A (ja) 2004-10-21

Family

ID=32985188

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003087893A Pending JP2004296835A (ja) 2003-03-27 2003-03-27 ダマシン構造を形成する方法

Country Status (2)

Country Link
US (1) US20040192051A1 (ja)
JP (1) JP2004296835A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006286878A (ja) * 2005-03-31 2006-10-19 Consortium For Advanced Semiconductor Materials & Related Technologies 半導体装置製造方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977245B2 (en) * 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US20090156012A1 (en) * 2007-12-12 2009-06-18 Applied Materials, Inc. Method for fabricating low k dielectric dual damascene structures

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210627A (ja) * 1999-11-16 2001-08-03 Matsushita Electric Ind Co Ltd エッチング方法、半導体装置及びその製造方法
JP2002170883A (ja) * 2000-12-01 2002-06-14 Nec Corp 半導体装置における配線構造の製造方法
JP2002198443A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置及びその製造方法
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP2003124200A (ja) * 2001-08-07 2003-04-25 Hitachi Ltd 半導体集積回路装置の製造方法
JP2003124189A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置の製造方法
JP2004260001A (ja) * 2003-02-26 2004-09-16 Fujitsu Ltd 半導体装置の製造方法
JP2004281936A (ja) * 2003-03-18 2004-10-07 Fujitsu Ltd 半導体装置の製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
JP2002110644A (ja) * 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210627A (ja) * 1999-11-16 2001-08-03 Matsushita Electric Ind Co Ltd エッチング方法、半導体装置及びその製造方法
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP2002170883A (ja) * 2000-12-01 2002-06-14 Nec Corp 半導体装置における配線構造の製造方法
JP2002198443A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置及びその製造方法
JP2003124200A (ja) * 2001-08-07 2003-04-25 Hitachi Ltd 半導体集積回路装置の製造方法
JP2003124189A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置の製造方法
JP2004260001A (ja) * 2003-02-26 2004-09-16 Fujitsu Ltd 半導体装置の製造方法
JP2004281936A (ja) * 2003-03-18 2004-10-07 Fujitsu Ltd 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006286878A (ja) * 2005-03-31 2006-10-19 Consortium For Advanced Semiconductor Materials & Related Technologies 半導体装置製造方法

Also Published As

Publication number Publication date
US20040192051A1 (en) 2004-09-30

Similar Documents

Publication Publication Date Title
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
KR101083211B1 (ko) 높은 선택도로 유전체 배리어층을 에칭하는 방법
JP4492947B2 (ja) 半導体装置の製造方法
US7368379B2 (en) Multi-layer interconnect structure for semiconductor devices
EP1333483A1 (en) Method of etching dual damascene structure
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
US20080286979A1 (en) Method of controlling sidewall profile by using intermittent, periodic introduction of cleaning species into the main plasma etching species
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
JP2008135758A (ja) 電子構造の製造方法
TW201417181A (zh) 蝕刻及灰化期間低k材料之側壁保護
WO2000054329A1 (fr) Dispositif semi-conducteur et procede de fabrication correspondant
JP4477750B2 (ja) エッチング方法
JP4260764B2 (ja) 半導体装置の製造方法
JP2002026121A (ja) 半導体装置およびその製造方法、絶縁膜の形成方法
KR100917291B1 (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
JP2004247675A (ja) 半導体装置の製造方法
TW461037B (en) Method for fabricating an integrated circuit having at least one metallization plane
JP3781175B2 (ja) コンタクトホールの形成方法
US20050077628A1 (en) Dual damascene structure and method
KR100657166B1 (ko) 구리 금속 배선의 형성 방법
JP2005328060A (ja) 半導体装置の製造方法
JP2003229482A (ja) 半導体素子の銅配線形成方法
JP2004296835A (ja) ダマシン構造を形成する方法
US7119011B2 (en) Semiconductor device and manufacturing method thereof
JP4388645B2 (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060324

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081125

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090519