JP2003124189A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2003124189A
JP2003124189A JP2001312883A JP2001312883A JP2003124189A JP 2003124189 A JP2003124189 A JP 2003124189A JP 2001312883 A JP2001312883 A JP 2001312883A JP 2001312883 A JP2001312883 A JP 2001312883A JP 2003124189 A JP2003124189 A JP 2003124189A
Authority
JP
Japan
Prior art keywords
film
etching
forming
substrate
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001312883A
Other languages
English (en)
Inventor
Daisuke Komada
大輔 駒田
Katsumi Kagami
克巳 各務
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu VLSI Ltd
Fujitsu Ltd
Original Assignee
Fujitsu VLSI Ltd
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu VLSI Ltd, Fujitsu Ltd filed Critical Fujitsu VLSI Ltd
Priority to JP2001312883A priority Critical patent/JP2003124189A/ja
Priority to EP02002111A priority patent/EP1302981A3/en
Priority to TW091101468A priority patent/TW522519B/zh
Priority to US10/058,426 priority patent/US20030068582A1/en
Priority to CNB021062994A priority patent/CN1222030C/zh
Priority to KR1020020037574A priority patent/KR20030030838A/ko
Publication of JP2003124189A publication Critical patent/JP2003124189A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 エッチングストッパ膜やハードマスクの材料
としてSiCを用いた場合に、このエッチングストッパ
膜やハードマスクを容易に除去することが可能なエッチ
ング方法を用いた半導体装置の製造方法を提供する。 【解決手段】 半導体基板上に、シリコンカーバイドと
はエッチング耐性の異なる材料からなる第1の膜を形成
する。第1の膜の上に、水素を含有するシリコンカーバ
イドからなる第2の膜を形成する。第2の膜の上に、開
口を有するレジスト膜を形成する。フロロカーボンガス
に、SF6及びNF3の少なくとも一方のガスを加えた混
合ガスを用い、レジスト膜をマスクとして、第2の膜を
ドライエッチングする。第2の膜をマスクとして、第1
の膜をエッチングする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、特に水素を含むシリコンカーバイドの膜を
ハードマスクまたはエッチングストッパ膜として用いた
エッチング工程を有する半導体装置の製造方法に関す
る。
【0002】
【従来の技術】従来の配線層の形成方法について、簡単
に説明する。まず、半導体基板上の層間絶縁膜の上に、
アルミニウム(Al)膜やタングステン(W)膜を堆積
し、この膜をパターニングして配線を形成する。配線の
側壁上の堆積物をアルカリ薬液等で除去する。その後、
配線を覆う層間絶縁膜をプラズマ励起型化学気相成長等
で堆積する。
【0003】近年の半導体集積回路装置の高集積化に伴
い、配線の微細化が進んできた。配線の微細化により、
配線間の寄生容量増加が顕著になり、半導体集積回路の
動作速度に影響が出始めた。配線間の寄生容量を低減す
るために、層間絶縁膜を低誘電率材料で形成する技術
や、配線を電気抵抗の低い銅(Cu)で形成する技術が
採用されるようになった。低誘電率の層間絶縁膜材料と
して、フロロシリケートガラス(FSG)、水素シルセ
スキオキサン(HSQ)、アライドシグナル社のFLA
RE、ダウケミカル社のSiLK等が知られている。
【0004】層間絶縁膜の一部に、Cuの拡散を防止す
るバリア膜、エッチングストッパ膜、及びキャップ膜と
して機能するシリコン窒化膜(SiN膜)が使用されて
いる。SiNは、SiO2に比べて誘電率が高いため、
層間絶縁膜の低誘電率化の妨げになっている。SiNに
代わる材料として、シリコンカーバイド(SiC)が注
目されている。
【0005】
【発明が解決しようとする課題】SiNの代わりにSi
Cを用いると、層間絶縁膜の低誘電率化を図ることが可
能であるが、SiC膜はSiN膜よりもエッチングが困
難である。Si−H結合またはSi−C結合を含むSi
C膜は、エッチング中に脱離した水素がエッチングを妨
げる作用をするため、特にエッチングが困難である。
【0006】層間絶縁膜として有機絶縁材料を用いるダ
マシン法で配線を形成する場合、従来、ハードマスクと
してSiNが用いられていた。このSiNをSiCに置
き換えた場合、ハードマスクの下の有機絶縁膜をエッチ
ングした後に、ハードマスクを除去することが困難にな
る。
【0007】本発明の目的は、エッチングストッパ膜や
ハードマスクの材料としてSiCを用いた場合に、この
エッチングストッパ膜やハードマスクを容易に除去する
ことが可能なエッチング方法を用いた半導体装置の製造
方法を提供することである。
【0008】
【課題を解決するための手段】本発明の一観点による
と、半導体基板上に、シリコンカーバイドとはエッチン
グ耐性の異なる材料からなる第1の膜を形成する工程
と、前記第1の膜の上に、水素を含有するシリコンカー
バイドからなる第2の膜を形成する工程と、前記第2の
膜の上に、開口を有するレジスト膜を形成する工程と、
フロロカーボンガスに、SF6及びNF3の少なくとも一
方のガスを加えた混合ガスを用い、前記レジスト膜をマ
スクとして、前記第2の膜をドライエッチングする工程
と、前記第2の膜をマスクとして、前記第1の膜をエッ
チングする工程とを有する半導体装置の製造方法が提供
される。
【0009】本発明の他の観点によると、絶縁性の表面
の一部に、導電性部材が露出した基板を準備する工程
と、前記基板の表面上に、水素を含有するシリコンカー
バイドからなる第1の膜を形成する工程と、前記第1の
膜の上に、絶縁材料からなる第2の膜を形成する工程
と、前記第2の膜の上に、開口を有するレジスト膜を形
成する工程と、前記レジスト膜をマスクとして、前記第
2の膜をエッチングして凹部を形成し、該凹部の底面に
前記第1の膜の一部を露出させる工程と、前記レジスト
膜をアッシングして除去する工程と、フロロカーボンガ
スに、SF6及びNF3の少なくとも一方のガスを加えた
混合ガスを用い、前記凹部の底面に露出した第1の膜を
ドライエッチングして、前記基板の導電性領域を露出さ
せる工程と、前記凹部内に、導電性部材を埋め込む工程
とを有する半導体装置の製造方法が提供される。
【0010】本発明の他の観点によると、絶縁性の表面
の一部に、導電性部材が露出した基板を準備する工程
と、前記基板の表面上に、水素を含有するシリコンカー
バイドからなる第1の膜を形成する工程と、前記第1の
膜の上に、シリコンカーバイドとはエッチング耐性の異
なる絶縁材料からなる第2の膜を形成する工程と、前記
第2の膜の上に、水素を含有するシリコンカーバイドか
らなる第3の膜を形成する工程と、前記第3の膜の上
に、基板表面の法線に平行な視線で見たとき、前記導電
性部材と部分的に重なる位置に開口を有するレジスト膜
を形成する工程と、フロロカーボンガスに、SF6及び
NF3の少なくとも一方のガスを加えた混合ガスを用
い、前記レジスト膜をマスクとして、前記第3の膜をエ
ッチングする工程と、前記第1の膜のエッチング速度よ
りも前記第2の膜のエッチング速度の方が速くなる条件
で、前記レジスト膜をマスクとして前記第2の膜をエッ
チングして凹部を形成し、該凹部の底面に前記第1の膜
の一部を露出させる工程と、前記レジスト膜をアッシン
グして除去する工程と、フロロカーボンガスに、SF6
及びNF3の少なくとも一方のガスを加えた混合ガスを
用い、前記凹部の底面に露出した第1の膜をドライエッ
チングして、前記基板の導電性部材を露出させる工程と
を有する半導体装置の製造方法が提供される。
【0011】本発明の他の観点によると、絶縁性の表面
の一部に、配線が露出した基板を準備する工程と、前記
基板の表面上に、水素を含有するシリコンカーバイドか
らなる第1の膜を形成する工程と、前記第1の膜の上
に、シリコンカーバイドとはエッチング耐性の異なる絶
縁材料からなる第2の膜を形成する工程と、前記第2の
膜の上に、水素を含有するシリコンカーバイドからなる
第3の膜を形成する工程と、前記第3の膜の上に、基板
表面の法線に平行な視線で見たとき、前記導電性部材と
部分的に重なる位置に第1の開口を有する第1のレジス
ト膜を形成する工程と、フロロカーボンガスに、SF6
及びNF3の少なくとも一方のガスを加えた混合ガスを
用い、前記レジスト膜をマスクとして、前記第3の膜を
エッチングし、前記第2の膜の表面の一部を露出させる
工程と、前記第1のレジスト膜を除去する工程と、エッ
チングされた前記第3の膜、及び露出した前記第2の膜
の表面上に、前記第1の開口に内包され、前記配線と部
分的に重なる位置に第2の開口が形成された第2のレジ
スト膜を形成する工程と、前記第2のレジスト膜をマス
クとして、前記第2の膜を、少なくとも深さ方向の途中
までエッチングする工程と、前記第2のレジスト膜を除
去する工程と、部分的にエッチングされた前記第3の膜
をマスクとして、前記第2の膜をエッチングし、前記第
2の開口が形成されていた領域においては、前記第1の
膜まで達するビアホールを形成し、前記第1の開口内
で、かつ前記第2の開口外の領域においては、前記第2
の膜の深さ方向の途中まで達する配線溝を形成する工程
と、フロロカーボンガスに、SF6及びNF3の少なくと
も一方のガスを加えた混合ガスを用い、前記ビアホール
の底面に露出した第1の膜をドライエッチングして、前
記配線を露出させる工程と、前記ビアホール及び前記配
線溝内を、導電性部材で埋め込む工程とを有する半導体
装置の製造方法が提供される。
【0012】エッチングガスとして、フロロカーボンガ
スに、SF6またはNF3を加えた混合ガスを用いると、
水素を含むシリコンカーバイドからなる膜を、選択的に
エッチングすることができる。
【0013】本発明の他の観点によると、半導体基板の
表面上に、原料ガスとしてテトラメチルシランと二酸化
炭素とを用い、二酸化炭素の流量に対するテトラメチル
シランの流量の比を0.2〜0.6として、化学気相成
長によりシリコンカーバイドからなる第1の膜を形成す
る工程と、前記第1の膜の上に、シリコンカーバイドと
はエッチング耐性の異なる絶縁材料からなる第2の膜を
形成する工程と、前記第2の膜の上に、開口を有するレ
ジスト膜を形成する工程と、前記第2の膜のエッチング
速度が、前記第1の膜のエッチング速度よりも速くなる
条件で、前記レジスト膜をマスクとして、前記第2の膜
をエッチングし、前記第1の膜の一部を露出させる工程
とを有する半導体装置の製造方法が提供される。
【0014】上記条件で堆積したシリコンカーバイド膜
は、SiO系のエッチング条件下でのエッチング速度が
遅いため、エッチングストッパとして用いることができ
る。
【0015】
【発明の実施の形態】図1を参照して、本発明の第1の
実施例による半導体装置の製造方法に付いて説明する。
【0016】図1(A)に示すように、半導体基板上に
配置された層間絶縁膜1の表層部に形成された溝内に、
銅配線2が埋め込まれている。銅配線2は、ダマシン法
により形成される。層間絶縁膜1及び銅配線2の上に、
SiCからなる厚さ50nmのエッチングストッパ膜3
を形成する。エッチングストッパ膜3は、原料ガスとし
てテトラメチルシラン(Si(CH34)とアンモニア
(NH3)と窒素(N2)との混合ガスを用いたCVDに
より形成することができる。この方法で形成されたSi
C膜には、Si−H結合やC−H結合が含まれる。
【0017】エッチングストッパ膜3の上に、ダウケミ
カル社製のSiLKからなる厚さ500nmの層間絶縁
膜4を形成する。層間絶縁膜4の上に、SiCからなる
厚さ100nmのハードマスク5を形成する。ハードマ
スク5は、エッチングストッパ膜3の形成と同様の方法
で形成される。ハードマスク5の上に、レジスト膜6を
塗布し、基板表面の法線に平行な視線で見たとき、配線
2と部分的に重なる位置に開口6Aを形成する。
【0018】図1(B)に示すように、レジスト膜6を
マスクとして、ハードマスク5をドライエッチングし、
ハードマスク5に開口5Aを形成する。
【0019】図2に、ハードマスク5のエッチングに用
いられる反応性イオンエッチング(RIE)装置の概略
図を示す。チャンバ100内に、下部電極101及び上
部電極102が、相互にほぼ平行に配置されている。ガ
ス導入口109からチャンバ100内にエッチングガス
が導入され、未反応のエッチングガスや反応生成物が、
排気口103を通して排出される。ソース電源106
が、インピーダンスマッチング回路107を通して上部
電極102に、周波数27MHzの高周波電圧を印加す
る。バイアス電源104が、インピーダンスマッチング
回路105を通して下部電極101に、周波数800k
Hzの高周波電圧を印加する。下部電極101の上に、
処理すべき基板110が保持される。
【0020】次に、ハードマスク5のエッチング条件に
ついて説明する。使用したエッチングガスは、CH
3、NF3、Ar、及びO2の混合ガスであり、それら
のガス流量は、それぞれ20sccm、10sccm、
200sccm、及び5sccmである。チャンバ10
0内の圧力は6.65Pa(50mTorr)、上部電
極102に供給されるソースパワーは2000W、下部
電極101に供給されるバイアスパワーは1400W、
下部電極101の温度は20℃である。
【0021】この条件で、ハードマスク5を貫通する開
口5Aを形成することができた。開口5Aを形成した
後、レジスト膜6を除去する。ハードマスク5をマスク
として、層間絶縁膜4をエッチングし、さらにエッチン
グストッパ膜3をエッチングして、ビアホールを形成す
る。
【0022】図1(C)に、比較のために、NF3を含
まないエッチングガスを用いてハードマスク5のエッチ
ングを行ったときの断面図を概略的に示す。使用したエ
ッチングガスは、CF4、CHF3、Ar、及びO2であ
り、これらのガス流量は、それぞれ20sccm、30
sccm、200sccm、及び8sccmである。チ
ャンバ100内の圧力は5.3Pa(40mTor
r)、上部電極102に供給されるソースパワーは25
00W、下部電極101に供給されるバイアスパワーは
1500W、下部電極101の温度は20℃である。
【0023】この条件では、レジスト膜のエッチング速
度に対するSiC膜のエッチング速度の比(エッチング
選択比)が小さい。このため、開口がハードマスク5を
貫通する前にレジスト膜6がエッチングされてしまい、
ハードマスク5に開口を形成することができなかった。
【0024】一般に、CF4は、エッチングを進め、C
HF3は、レジスト膜に対するエッチングすべき膜のエ
ッチング選択比を高める働きをする。SiN膜をエッチ
ングする場合には、このエッチングガスで十分なエッチ
ング選択比を確保することができるが、水素を含有する
SiC膜をエッチングする場合には、十分なエッチング
選択比が得られないことが分かる。
【0025】上述のように、エッチングガスにNF3
添加することにより、十分なエッチング選択比を確保
し、水素を含有するSiC膜をエッチングすることが可
能になる。エッチングガス中に添加されたArは、イオ
ンアシストを行うためのものである。O2は、エッチン
グの抜け性を高める働きをする。
【0026】次に、図3及び図4を参照して、本発明の
第2の実施例による半導体装置の製造方法について説明
する。
【0027】図3(A)に示すように、半導体基板上に
配置された層間絶縁膜11の表層部に形成された溝内
に、銅配線12が埋め込まれている。銅配線12は、ダ
マシン法により形成される。層間絶縁膜11及び銅配線
12の上に、SiCからなる厚さ50nmのエッチング
ストッパ膜13を形成する。エッチングストッパ膜13
は、図1に示した第1の実施例のエッチングストッパ膜
3の形成と同様の方法で形成される。
【0028】エッチングストッパ膜13の上に、プラズ
マ励起型化学気相成長により、SiO2からなる厚さ1
000nmの層間絶縁膜14を形成する。層間絶縁膜1
4の上に、プラズマ励起型化学気相成長により、SiN
からなる厚さ50nmの反射防止膜15を形成する。反
射防止膜15の上に、レジスト膜16を塗布し、基板表
面の法線に平行な視線で見たとき、配線12と部分的に
重なる位置に開口16Aを形成する。
【0029】図3(B)に示すように、レジスト膜16
をマスクとし、反射防止膜15を、例えばCHF3とO2
との混合ガスを用いてドライエッチングする。さらに、
層間絶縁膜14を、図2に示したRIE装置を用いてエ
ッチングする。使用するエッチングガスは、例えばC4
8、C58、Ar、CO、及びO2の混合ガスである。
このエッチング条件の下で、層間絶縁膜14のエッチン
グ速度に対するエッチングストッパ膜13のエッチング
速度の比(エッチング選択比)が高いため、エッチング
ストッパ膜13が露出した時点でエッチングをほぼ停止
させることができる。底面にエッチングストッパ膜13
の一部が露出したビアホール14Aが形成される。
【0030】図3(C)に示すように、レジスト膜16
をアッシングして除去する。このとき、配線12の表面
がエッチングストッパ膜13で覆われているため、配線
12の表面の酸化を防止することができる。
【0031】図4(D)に示すように、ビアホール14
Aの底面に露出しているエッチングストッパ膜13を、
図2に示したRIE装置を用いてドライエッチングす
る。使用したエッチングガスは、CHF3、NF3、A
r、及びO2の混合ガスであり、それらのガス流量は、
それぞれ30sccm、10sccm、200scc
m、及び8sccmである。チャンバ100内の圧力は
6.65Pa(50mTorr)、上部電極102に供
給されるソースパワーは2000W、下部電極101に
供給されるバイアスパワーは1500W、下部電極10
1の温度は20℃である。
【0032】ビアホール14Aの底面に、配線12の一
部が露出する。この条件でエッチングを行うと、層間絶
縁膜14の表面上に形成されていたSiNからなる反射
防止膜15もエッチングされ、層間絶縁膜14の上面が
露出する。
【0033】第2の実施例では、第1の実施例の場合と
同様に、CHF3にNF3を加えた混合ガスを用いてエッ
チングを行っているため、ビアホール14Aの底面に露
出しているエッチングストッパ膜13をほぼ確実に除去
することができる。
【0034】図4(E)に、比較のために、NF3を含
まないガスでエッチングを行ったときの概略断面図を示
す。使用したエッチングガスは、CHF3、Ar、及び
2であり、これらのガス流量は、それぞれ30scc
m、200sccm、及び8sccmである。チャンバ
100内の圧力は6.65Pa(50mTorr)、上
部電極102に供給されるソースパワーは2000W、
下部電極101に供給されるバイアスパワーは1500
W、下部電極101の温度は20℃である。
【0035】このエッチング条件では、層間絶縁膜14
に対するエッチングストッパ膜13のエッチング選択比
が十分ではないため、ビアホール14Aの底面に露出し
ているエッチングストッパ膜13を確実に除去すること
が困難であり、エッチング中にビアホール14Aの縁が
エッチングされてしまう。
【0036】第2の実施例のように、フロロカーボンガ
スにNF3を加えたガスを用いることにより、水素を含
むSiCで形成され、ビアホール14Aの底面に露出し
ているエッチングストッパ膜13をほぼ確実に除去し、
その下の配線を露出させることができる。
【0037】次に、図5及び図6を参照して、本発明の
第3の実施例による半導体装置の製造方法について説明
する。第1及び第2の実施例では、銅配線上の層間絶縁
膜にビアホールを形成する場合を示したが、第3の実施
例では、ボンディングパッドを配置するための開口を形
成する。
【0038】図5(A)に示すように、半導体基板上に
形成された層間絶縁膜21の表層部の溝に、銅配線22
が埋め込まれている。銅配線22及び層間絶縁膜21の
上に、SiCからなる厚さ50nmのエッチングストッ
パ膜23、SiO2からなる厚さ400nmの保護膜2
4、及びSiCからなる厚さ300nmのカバー膜25
を順番に形成する。エッチングストッパ膜23及びカバ
ー膜25の形成は、図1(A)に示した第1の実施例の
エッチングストッパ膜3の形成と同様のプラズマ励起型
化学気相成長により行う。保護膜24の形成は、図3
(A)に示した第2の実施例による層間絶縁膜14の形
成と同様のプラズマ励起型化学気相成長により行う。
【0039】カバー膜25の上にレジスト膜26を塗布
し、開口26Aを形成する。基板表面の法線に平行な視
線で見たとき、開口26Aが、配線22に内包される。
【0040】図5(B)に示すように、レジスト膜26
をマスクとして、カバー膜25をエッチングし、凹部2
7を形成する。このエッチングは、第2の実施例の図4
(D)の工程で説明したエッチングストッパ膜13のエ
ッチングと同一の条件で行う。凹部27は、保護膜24
の深さ方向の途中まで達する。
【0041】図5(C)に示すように、保護膜24をさ
らにエッチングし、凹部27の底面にエッチングストッ
パ膜23を露出させる。保護膜24のエッチングは、第
2の実施例の図3(B)に示した層間絶縁膜14のエッ
チングと同一の条件で行う。
【0042】図6(D)に示すように、カバー膜25の
上に残っているレジスト膜26を、アッシングにより除
去する。
【0043】図6(E)に示すように、凹部27の底面
に露出しているエッチングストッパ膜23をエッチング
する。このエッチングは、第2の実施例の図4(D)の
工程で説明したエッチングストッパ膜13のエッチング
と同一の条件で行う。凹部27の底面に銅配線22が露
出する。カバー膜25の表面及び凹部27の内面を覆う
ようにAl膜を成膜してパターニングすることにより、
ボンディングパッド28を形成する。
【0044】第3の実施例においても、図5(B)に示
したカバー膜25のエッチング工程において、CHF3
にNF3を加えた混合ガスを用いてエッチングを行って
いるため、水素を含むSiCからなるカバー膜25を貫
通する凹部27を、再現性よく形成することができる。
NF3を添加していないフロロカーボンガスを用いたエ
ッチングでは、レジスト膜26に対するカバー膜25の
十分大きなエッチング選択比を確保することができな
い。このため、図6(F)に示したように、レジスト膜
26の膜減りが大きくなり、カバー膜25を貫通させる
ことが困難になる。
【0045】上記第1〜第3の実施例では、CHF
3に、NF3を添加したエッチングガスを用いたが、CH
3以外の、一般式CxHyFz(x、y、zは、x≧
1、y≧0、z≧1を満たす整数)で表されるフロロカ
ーボンガスを用いてもよい。また、NF3の代わりに、
NF3と同様の性質を有するSF6を用いてもよい。
【0046】次に、図7〜図9を参照して、本発明の第
4の実施例による半導体装置の製造方法について説明す
る。
【0047】図7(A)に示すように、半導体基板上に
層間絶縁膜30が形成されている。層間絶縁膜30の上
に、FSGからなる厚さ500nmの配線層絶縁膜31
を形成する。配線層絶縁膜31は、例えば原料ガスとし
てSiH4、SiF4、N2O、及びN2を用いたプラズマ
励起型化学気相成長により形成することができる。配線
層絶縁膜31に、配線溝31Aを形成する。配線層絶縁
膜31のエッチングは、C48、C58、Ar、CO、
及びO2の混合ガスを用いたRIEにより行うことがで
きる。層間絶縁膜30と配線層絶縁膜31との界面に、
SiN等のエッチングストッパ膜を挿入しておき、配線
溝31Aの深さを制御してもよい。
【0048】図7(B)に示すように、配線層絶縁膜3
1の表面及び配線溝31Aの内面を覆うように、TaN
からなる厚さ25nmのバリアメタル層32を、スパッ
タリングにより形成する。バリアメタル層32の表面上
に、シード層となる厚さ200nmの銅層を、スパッタ
リングにより成膜する。シード層の上に、電解めっきに
より、厚さ1300nmの銅膜33Lを形成する。銅膜
33Lは、配線溝31Aを完全に埋め込む。
【0049】図7(C)に示すように、化学機械研磨
(CMP)を行い、配線溝31Aの内部以外の不要なバ
リアメタル層32及び銅膜33Lを除去する。配線溝3
1A内にのみ銅配線33が残る。このCMPは、銅配線
33の上面が配線層絶縁膜31の上面よりも低くなるよ
うに、ディッシングが生ずる条件で行う。
【0050】図8(D)に示すように、銅配線33の表
面及び配線層絶縁膜31の表面を覆うように、TaNか
らなるバリアメタル層34を、スパッタリングにより形
成する。バリアメタル層34の厚さは、銅配線33のデ
ィッシングにより形成された窪みを埋め込む程度とす
る。
【0051】図8(E)に示すように、2回目のCMP
を行い、配線溝31Aの内部以外の部分のバリアメタル
層34を除去する。配線溝31A内に、側面、上面及び
底面がバリアメタル層33及び34で覆われた銅配線3
3が形成される。
【0052】なお、2回目のCMPを行う代わりに、エ
ッチバックを行ってもよい。また、1回目のCMPで、
図7(B)に示した銅膜33Lのみを除去し、配線層絶
縁膜31の上にバリアメタル層32を残し、第2回目の
CMPで、バリアメタル層32を図8(D)に示したバ
リアメタル層34と一緒に除去してもよい。
【0053】図8(F)に示すように、配線層絶縁膜3
1及び銅配線33の上に、SiCからなる厚さ50nm
のエッチングストッパ膜41、FSGからなる層間絶縁
膜42、SiNからなる厚さ50nmの反射防止膜43
を順番に形成する。エッチングストッパ膜41の形成
は、第1の実施例の図1(A)に示したエッチングスト
ッパ膜3の形成と同様の方法で行う。層間絶縁膜42の
形成は、その下の配線層絶縁膜31の形成と同様の方法
で行う。反射防止膜43の形成は、第2の実施例の図3
(A)に示した反射防止膜の形成と同様の方法で行う。
【0054】図9(G)に示すように、反射防止膜43
の上にレジスト膜44を形成する。レジスト膜44に、
ビアホールに対応する開口44Aを形成する。基板表面
の法線に平行な視線で見たとき、開口44Aは、銅配線
33と部分的に重なる位置に配置される。レジスト膜4
4をマスクとして、反射防止膜43及び層間絶縁膜42
を、厚さ方向の途中までエッチングし、ビアホール45
を形成する。その後、レジスト膜44を除去する。
【0055】次に、反射防止膜43の表面上に、レジス
ト膜47を形成する。レジスト膜47に、配線溝に対応
する開口47Aを形成する。開口47Aは、ビアホール
45と部分的に重なる位置に配置される。レジスト膜4
7をマスクとして、反射防止膜43及び層間絶縁膜42
をエッチングする。開口47Aに対応する配線溝46が
形成されるとともに、ビアホール45が深くなり、その
底面にエッチングストッパ膜41の一部が露出する。
【0056】図9(H)に示すように、ビアホール45
の底面に露出したエッチングストッパ膜41をドライエ
ッチングし、その下のバリアメタル層34を露出させ
る。エッチングストッパ膜41のエッチング条件につい
て説明する。使用したエッチングガスは、CHF3、S
6、Ar、及びO2の混合ガスであり、それらのガス流
量は、それぞれ30sccm、10sccm、200s
ccm、及び8sccmである。チャンバ100内の圧
力は6.65Pa(50mTorr)、上部電極102
に供給されるソースパワーは2000W、下部電極10
1に供給されるバイアスパワーは1500W、下部電極
101の温度は20℃である。
【0057】第4の実施例においては、CHF3にSF6
を加えた混合ガスを用いてエッチングしているため、ビ
アホール45の底のエッチングストッパ膜41をほぼ確
実に除去することができる。エッチング後の表面に銅が
露出する場合には、銅の腐食を防止するために、フロロ
カーボンガスに添加するガスとしてSF6よりもNF3
使用することが好ましい。第4の実施例の場合には、銅
配線33の上面がTaNからなるバリアメタル層34で
覆われているため、SF6を用いることが可能である。
なお、バリアメタル層の材料として、TaNの代わり
に、Ta、Ti、TiNを用いてもよい。
【0058】上記第1〜第4の実施例では、フロロカー
ボンガスにSF6またはNF3を加えた混合ガスを用い
て、水素を含むSiC膜をエッチングする技術を示した
が、フロロカーボンガスにSF6とNF3との両方を加え
てもよい。SF6またはNF3を加える効果を得るために
は、フロロカーボンガスの流量に対するSF6またはN
3の流量の比を0.1以上0.5以下とすることが好
ましい。
【0059】また、フロロカーボンガスとしてCHF3
を用いたが、その他に一般式Cxy z(x、y、z
は、x≧1、y≧0、z≧1を満足する整数)で表され
るガスを用いてもよい。このようなガスの例として、C
4、CH22、C48、C58、C46等が挙げられ
る。
【0060】特に、水素を20原子%以上含むSiC膜
をエッチングする場合に、エッチングガスにNF3やS
6を添加する効果が高い。また、SiC膜をハードマ
スクやエッチングストッパ膜として利用するためには、
水素の含有量を50原子%以下とすることが好ましい。
【0061】また、上記実施例では、層間絶縁膜の材料
として、SiLK(ダウケミカル社)、SiO2、及び
FSGを用いたが、SiCとはエッチング耐性の異なる
その他の絶縁材料を用いてもよい。層間絶縁膜として、
例えば、フォスフォシリケートガラス(PSG)からな
る膜、ボロフォスフォシリケートガラス(BPSG)か
らなる膜、水素シルセスキオキサン(HSQ)からなる
膜、テトラエチルオルソシリケート(TEOS)を用い
て堆積した膜、スピンオングラス(SOG)により形成
した膜、カーボン含有シリコン酸化(SiOC)膜、シ
リコンを含む発泡性多孔質膜、及び有機材料からなる絶
縁膜を用いてもよい。有機絶縁膜の材料として、ダウケ
ミカル社のSiLK以外に、アライドシグナル社のFL
ARE等が挙げられる。
【0062】上記実施例では、平行平板型のRIE装置
を用いて、ドライエッチングを行ったが、その他のエッ
チング装置、例えば電子サイクロトロン共鳴プラズマ
(ECRプラズマ)エッチング装置、誘導結合プラズマ
(ICP)エッチング装置、ヘリコンプラズマエッチン
グ装置等を用いてもよい。
【0063】また、上記実施例では、SiC膜をプラズ
マ励起型化学気相成長で形成するときの原料ガスとし
て、Si(CH34とNH3とN2との混合ガスを用いた
が、他の原料ガスを用いることも可能である。例えば、
Si(CH33HとNH3とHeとの混合ガスを用いて
もよい。この原料ガスを用いて成膜したSiC膜は、ア
プライドマテリアル社の商品BLOkとして知られてい
る。
【0064】次に、図10〜図13を参照して、本発明
の第5の実施例による半導体装置の製造方法について説
明する。上記第1〜第4の実施例では、水素を含むSi
C膜のエッチング方法に特徴を有する半導体装置の製造
方法について説明したが、第5の実施例は、SiC膜の
成膜方法に特徴を有する。
【0065】第2の実施例の図3(B)に示したSiC
からなるエッチングストッパ膜13は、その上の層間絶
縁膜14にビアホール14Aを形成する際のエッチング
を停止させる機能を有する。このため、層間絶縁膜14
のエッチング条件下で、エッチングストッパ層13のエ
ッチング速度が層間絶縁膜14のエッチング速度に比べ
て十分遅いことが必要である。
【0066】従来のエッチングストッパ膜として使用さ
れていたSiN膜に対するSiO2膜のエッチング選択
比は約9.5であった。これに対し、SiC膜に対する
SiO2膜のエッチング選択比が約7まで低下してしま
うことがわかった。特に、ビアホール底のエッチングス
トッパ膜を除去する場合のエッチング選択比の低下が大
きい。ビアホール底のSiN膜に対するFSG膜のエッ
チング選択比が約28であるのに対し、ビアホール底の
SiC膜に対するFSG膜のエッチング選択比は約17
であった。このように、ビアホール底でエッチングを停
止させる場合のエッチング選択比の低下量が大きいの
は、ビアホール底では、エッチングがスパッタリングよ
りも化学的な反応に支配されるためと考えられる。
【0067】図10に、SiO2やFSGのエッチング
条件下でのエッチング速度が比較的速かったSiC膜
の、フーリエ変換赤外分光(FT−IR)の結果を示
す。横軸は、波数を単位cm-1で表し、縦軸は吸収率
(Absorbance)を表す。Si−C結合に起因
するピークのみならず、Si−OCH結合に起因する大
きなピークが現れていることが分かる。Si−OCH結
合に起因するピーク強度が、Si−C結合に起因するピ
ーク強度よりも大きい。SiC膜がSi−OCH結合を
多く含むため、SiO系のエッチング条件下において、
このSiC膜のエッチング速度が速くなってしまったと
考えられる。
【0068】図11に、成膜条件を変えて形成した5種
類のSiC膜のFT−IRの結果を示す。SiC膜は、
原料ガスとしてテトラメチルシランとCO2とを用い
た。図11中の曲線に付された数字は、CO2の流量に
対するテトラメチルシランの流量の比を示す。
【0069】流量比が大きくなる(テトラメチルシラン
の流量が相対的に多くなる)と、Si−C結合のピーク
強度が大きくなることがわかる。流量比を小さくする
と、Si−OCH結合のピーク強度が大きくなり、Si
C膜に、より多くの酸素及び水素が取り込まれることが
分かる。
【0070】図12に、成膜時のテトラメチルシランと
CO2との流量比と、SiC膜のエッチング速度との関
係を示す。横軸は、CO2の流量に対するテトラメチル
シランの流量の比を表し、縦軸はエッチング速度を単位
「nm/分」で表す。なお、エッチング条件は、下記の
とおりである。
【0071】C48の流量が8sccm、C58の流量
が3sccm、Arの流量が320sccm、COの流
量が190sccm、及びO2の流量が8sccmであ
る。圧力は約4Pa(30mTorr)、ソースパワー
は1750W、バイアスパワーは1400W、下部電極
温度は20℃である。
【0072】流量比が0.2以上であれば、エッチング
速度はほとんど流量比の影響を受けず、30nm/分を
中心として分布する。流量比が0.2未満の領域で、エ
ッチング速度が大きくなっていることが分かる。このた
め、SiC膜をエッチングストッパ膜として利用する場
合には、成膜時の流量比を0.2以上とすることが好ま
しい。
【0073】図13に、成膜時のテトラメチルシランと
CO2との流量比と、ストレスシフトとの関係を示す。
横軸は、CO2の流量に対するテトラメチルシランの流
量の比を表し、縦軸はストレスシフトを単位「MPa/
cm2」で表す。ストレスシフトは、成膜後10〜12
日経過時の基板の反りから求めた。流量比を大きくする
と、ストレスシフトが負の向きに大きくなることが分か
る。特に、流量比が0.6を超えた領域に、ストレスシ
フトの絶対値の大きい試料が散見される。ストレスシフ
トが大きいということは、SiC膜の膜質が不安定であ
ることを表している。このため、流量比を0.6以下と
することが好ましい。
【0074】上記考察からわかるように、SiO2膜の
エッチング条件下でのエッチング速度が遅く、かつ膜質
の安定したSiC膜を得るためには、CO2の流量に対
するテトラメチルシランの流量の比を0.2〜0.6と
することが好ましく、0.3〜0.5とすることがより
好ましい。
【0075】次に、図14〜図19を参照して、上記第
1〜第5の実施例による半導体装置の製造方法を適用し
て、ダマシン法により半導体装置を製造する方法につい
て説明する。
【0076】図14(A)に示すように、シリコンから
なる基板51の表面上に、素子分離絶縁領域52が形成
されている。素子分離絶縁領域52は、シリコン局所酸
化(LOCOS)や、シャロートレンチアイソレーショ
ン(STI)により形成される。素子分離絶縁領域52
で囲まれた活性領域上に、ゲート電極53G、ソース領
域53S、及びドレイン領域53Dを含むMOSFET
53が形成されている。ゲート電極53Gの上面上に、
SiO2からなる上部絶縁膜53Iが形成されている。
ゲート電極53G及び上部絶縁膜53Iの側面上のサイ
ドウォールスペーサ53Wが形成されている。MOSF
ET53は、周知の成膜、フォトリソグラフィ、エッチ
ング、イオン注入等の工程を繰り返し実行することによ
り形成される。
【0077】基板51の表面上に、MOSFET53を
覆うように、SiCからなるエッチングストッパ膜57
を形成する。エッチングストッパ膜57は、上記第5の
実施例による好ましい成膜条件で形成される。エッチン
グストッパ膜57の上に、フォスフォシリケートガラス
(PSG)からなる厚さ30nmの層間絶縁膜60を、
化学気相成長(CVD)により形成する。
【0078】図14(B)に示す状態までの工程を説明
する。層間絶縁膜60の表面上にレジスト膜61を形成
する。ソース領域53S及びドレイン領域53Dに対応
する位置に、レジスト膜61を貫通する開口を形成す
る。レジスト膜61をマスクとして層間絶縁膜60をエ
ッチングし、ソース領域53S及びドレイン領域53D
に対応する位置に、コンタクトホール62S及び62D
を形成する。このエッチングは、エッチングストッパ膜
57で停止する。レジスト膜61を除去する。
【0079】図14(B)では、基板表面の法線に平行
な視線で見たとき、コンタクトホール62Dの一部がゲ
ート電極53Gの一部に重なる場合を示している。
【0080】図14(C)に示すように、コンタクトホ
ール62S及び62Dの底面に露出しているエッチング
ストッパ膜57を除去する。このエッチングは、上記第
2の実施例による方法で説明した好ましいエッチング条
件で行われる。ソース領域53S及びドレイン領域53
Dの一部が露出する。ゲート電極53Gの上には、上部
絶縁膜53Iが配置されているため、ゲート電極53G
は露出しない。
【0081】図15(D)に示すように、コンタクトホ
ール62S及び62Dの内面、及びエッチングストッパ
膜61の上面を覆う厚さ30nmのバリアメタル層を形
成する。バリアメタル層63は、例えばTi、TiNま
たはTaNで形成される。バリアメタル層の表面上に、
コンタクトホール62S及び62D内を埋め尽くすのに
十分な厚さのタングステン(W)層を形成する。バリア
メタル層及びW層の形成は、例えばCVDにより行われ
る。
【0082】層間絶縁膜60が露出するまでCMPを行
い、余分のバリアメタル層及びW層を除去する。コンタ
クトホール62S及び62D内に、バリアメタル層63
及びWからなる導電プラグ64が残る。
【0083】図15(E)に示すように、層間絶縁膜6
0の上に、SiCからなる厚さ50nmのエッチングス
トッパ膜69を形成する。その上に、厚さ250nmの
第1層目配線層絶縁膜70を形成する。第1層目配線層
絶縁膜70は、例えばFSGで形成される。
【0084】第1層目配線層絶縁膜70の上に、SiO
2からなる厚さ150nmのキャップ膜71を、プラズ
マ励起型化学気相成長により形成する。キャップ膜71
の上に、レジストパターン74を形成する。レジストパ
ターン74には、第1層目配線層絶縁膜70内に形成さ
れる配線に対応した開口76が設けられている。開口7
6は、通常のフォトリソグラフィにより形成される。
【0085】図16(F)に示すように、レジストパタ
ーン74をマスクとして、キャップ膜71及び第1層目
配線層絶縁膜70をエッチングする。キャップ膜71及
び第1層目配線層絶縁膜70のエッチングは、エッチン
グガスとしてC48、C58、Ar、CO、及びO2
混合ガスを用いたRIEにより行われる。このエッチン
グは、エッチングストッパ膜69で停止する。第1層目
配線層絶縁膜70内に、レジストパターン74の開口7
6に対応した配線溝75が形成される。配線溝75を形
成した後、レジストパターン74を除去する。その後、
配線溝75の底面に露出したエッチングストッパ膜69
を除去する。
【0086】図16(G)に示すように、導電プラグ6
4の上面が、対応する配線溝75の底面に露出する。配
線溝75の内面及びキャップ膜71の上面を覆う厚さ2
5nmのバリアメタル層72Lを形成する。バリアメタ
ル層72Lは、TiNまたはTaNで形成され、CVD
により成膜される。バリアメタル層72Lの表面上に、
銅からなる導電層73Lを形成する。導電層73Lは、
バリアメタル層72Lの表面をCuからなるシード層で
覆った後、Cuを電解めっきすることにより形成され、
配線溝75内を埋め尽くすのに十分な厚さを有する。
【0087】図17(H)に示すように、キャップ膜7
1が露出するまでCMPを行う。配線溝75内に、その
内面を覆うバリアメタル層72と、配線溝75内を埋め
尽くすCu配線73が残る。
【0088】図17(I)に示すように、キャップ膜7
1の上に、SiCからなる厚さ50nmの拡散バリア膜
80、FSGからなる厚さ800nmの層間絶縁膜8
1、SiO2からなる厚さ100nmのキャップ膜8
5、及びSiCからなる厚さ50nmのハードマスク8
6を順番に成膜する。
【0089】拡散バリア膜80は、例えば上記第5の実
施例で説明した好ましい成膜条件で成膜する。ハードマ
スク86の形成は、例えば第1の実施例の図1(A)に
示したハードマスク5の形成と同様の条件で行う。
【0090】図18(J)に示すように、ハードマスク
86をパターニングし、開口87を形成する。開口87
は、配線層絶縁膜84内に形成する配線のパターンに対
応する。ハードマスク86のパターニングは、第1の実
施例の図1(B)に示したハードマスク5のエッチング
と同様の条件で行われる。
【0091】図18(K)に示すように、開口87の底
面に露出したキャップ膜85及びハードマスク86の上
に、レジストパターン90を形成する。レジストパター
ン90には、層間絶縁膜81に形成されるビアホールに
対応した開口91が形成されている。基板法線に平行な
視線で見たとき、開口91はハードマスク86に形成さ
れた開口87に内包される。レジストパターン90をマ
スクとして、キャップ膜85から、層間絶縁膜81の厚
さ方向の途中までエッチングし、ビアホール92を形成
する。
【0092】ビアホール92を形成した後、レジストパ
ターン90をアッシングする。
【0093】図19(L)に示すように、ハードマスク
86をマスクとして、層間絶縁膜81を、その上面から
深さ方向の途中までエッチングする。このとき、ビアホ
ール92の底面がさらにエッチングされ、ビアホール9
2が層間絶縁膜81を貫通する。このエッチングは、エ
ッチングガスとしてC48、C58、Ar、CO、及び
2の混合ガスを用いたRIEにより行うことができ
る。
【0094】図19(M)に示すように、ハードマスク
56、及びビアホール92の底面に露出している拡散バ
リア膜80をエッチングする。このエッチングは、第2
の実施例の図4(D)で説明したエッチングストッパ膜
13のエッチングと同様の条件で行う。
【0095】図20に示すように、ビアホール92及び
配線溝93の内面を、TaNからなるバリアメタル層1
50で覆い、内部をCu配線151で埋め込む。バリア
メタル層150及びCu配線151の形成は、第1層目
配線層のバリアメタル層72及びCu配線73の形成方
法と同様の方法で行われる。
【0096】上述のように、SiC膜をハードマスク
や、エッチングストッパ膜として使用することができ
る。従来のSiNを用いる場合に比べて、配線間の寄生
容量を小さくすることができる。このため、半導体集積
回路装置の高速動作が可能になる。
【0097】以上実施例に沿って本発明を説明したが、
本発明はこれらに制限されるものではない。例えば、種
々の変更、改良、組み合わせ等が可能なことは当業者に
自明であろう。
【0098】上述の実施例から、以下の付記に示された
発明が導出される。 (付記1) 半導体基板上に、シリコンカーバイドとは
エッチング耐性の異なる材料からなる第1の膜を形成す
る工程と、前記第1の膜の上に、水素を含有するシリコ
ンカーバイドからなる第2の膜を形成する工程と、前記
第2の膜の上に、開口を有するレジスト膜を形成する工
程と、フロロカーボンガスに、SF6及びNF3の少なく
とも一方のガスを加えた混合ガスを用い、前記レジスト
膜をマスクとして、前記第2の膜をドライエッチングす
る工程と、前記第2の膜をマスクとして、前記第1の膜
をエッチングする工程とを有する半導体装置の製造方
法。 (付記2) 絶縁性の表面の一部に、導電性部材が露出
した基板を準備する工程と、前記基板の表面上に、水素
を含有するシリコンカーバイドからなる第1の膜を形成
する工程と、前記第1の膜の上に、絶縁材料からなる第
2の膜を形成する工程と、前記第2の膜の上に、開口を
有するレジスト膜を形成する工程と、前記レジスト膜を
マスクとして、前記第2の膜をエッチングして凹部を形
成し、該凹部の底面に前記第1の膜の一部を露出させる
工程と、前記レジスト膜をアッシングして除去する工程
と、フロロカーボンガスに、SF6及びNF3の少なくと
も一方のガスを加えた混合ガスを用い、前記凹部の底面
に露出した第1の膜をドライエッチングして、前記基板
の導電性領域を露出させる工程と、前記凹部内に、導電
性部材を埋め込む工程とを有する半導体装置の製造方
法。 (付記3) 前記基板の表面に露出していた導電性領域
が銅配線である付記2に記載の半導体装置の製造方法。 (付記4) 前記銅配線の上面が、Ta、TaN、T
i、TiNからなる群より選択された一つの材料からな
るバリアメタル層で覆われている付記3に記載の半導体
装置の製造方法。 (付記5) 前記第2の膜が、シリコン酸化膜、フォス
フォシリケートガラスからなる膜、ボロフォスフォシリ
ケートガラスからなる膜、フルオロシリケートガラスか
らなる膜、水素シルセスキオキサンからなる膜、テトラ
エチルオルソシリケートを用いて堆積した膜、スピンオ
ングラスにより形成した膜、カーボン含有シリコン酸化
膜、シリコンを含む発泡性多孔質膜、及び有機材料から
なる絶縁膜からなる群より選択された一つの膜である付
記2乃至4のいずれかに記載の半導体装置の製造方法。 (付記6) 前記第1の膜を形成する工程において、原
料ガスとしてテトラメチルシランと二酸化炭素とを用
い、二酸化炭素の流量に対するテトラメチルシランの流
量の比を0.2〜0.6として、化学気相成長により前
記第1の膜を形成する付記2乃至5のいずれかに記載の
半導体装置の製造方法。 (付記7) 絶縁性の表面の一部に、導電性部材が露出
した基板を準備する工程と、前記基板の表面上に、水素
を含有するシリコンカーバイドからなる第1の膜を形成
する工程と、前記第1の膜の上に、シリコンカーバイド
とはエッチング耐性の異なる絶縁材料からなる第2の膜
を形成する工程と、前記第2の膜の上に、水素を含有す
るシリコンカーバイドからなる第3の膜を形成する工程
と、前記第3の膜の上に、基板表面の法線に平行な視線
で見たとき、前記導電性部材と部分的に重なる位置に開
口を有するレジスト膜を形成する工程と、フロロカーボ
ンガスに、SF6及びNF3の少なくとも一方のガスを加
えた混合ガスを用い、前記レジスト膜をマスクとして、
前記第3の膜をエッチングする工程と、前記第1の膜の
エッチング速度よりも前記第2の膜のエッチング速度の
方が速くなる条件で、前記レジスト膜をマスクとして前
記第2の膜をエッチングして凹部を形成し、該凹部の底
面に前記第1の膜の一部を露出させる工程と、前記レジ
スト膜をアッシングして除去する工程と、フロロカーボ
ンガスに、SF6及びNF3の少なくとも一方のガスを加
えた混合ガスを用い、前記凹部の底面に露出した第1の
膜をドライエッチングして、前記基板の導電性部材を露
出させる工程とを有する半導体装置の製造方法。 (付記8) 前記第1の膜を形成する工程において、原
料ガスとしてテトラメチルシランと二酸化炭素とを用
い、二酸化炭素の流量に対するテトラメチルシランの流
量の比を0.2〜0.6として、化学気相成長により前
記第1の膜を形成する付記7に記載の半導体装置の製造
方法。 (付記9) 絶縁性の表面の一部に、配線が露出した基
板を準備する工程と、前記基板の表面上に、水素を含有
するシリコンカーバイドからなる第1の膜を形成する工
程と、前記第1の膜の上に、シリコンカーバイドとはエ
ッチング耐性の異なる絶縁材料からなる第2の膜を形成
する工程と、前記第2の膜の上に、水素を含有するシリ
コンカーバイドからなる第3の膜を形成する工程と、前
記第3の膜の上に、基板表面の法線に平行な視線で見た
とき、前記導電性部材と部分的に重なる位置に第1の開
口を有する第1のレジスト膜を形成する工程と、フロロ
カーボンガスに、SF6及びNF3の少なくとも一方のガ
スを加えた混合ガスを用い、前記レジスト膜をマスクと
して、前記第3の膜をエッチングし、前記第2の膜の表
面の一部を露出させる工程と、前記第1のレジスト膜を
除去する工程と、エッチングされた前記第3の膜、及び
露出した前記第2の膜の表面上に、前記第1の開口に内
包され、前記配線と部分的に重なる位置に第2の開口が
形成された第2のレジスト膜を形成する工程と、前記第
2のレジスト膜をマスクとして、前記第2の膜を、少な
くとも深さ方向の途中までエッチングする工程と、前記
第2のレジスト膜を除去する工程と、部分的にエッチン
グされた前記第3の膜をマスクとして、前記第2の膜を
エッチングし、前記第2の開口が形成されていた領域に
おいては、前記第1の膜まで達するビアホールを形成
し、前記第1の開口内で、かつ前記第2の開口外の領域
においては、前記第2の膜の深さ方向の途中まで達する
配線溝を形成する工程と、フロロカーボンガスに、SF
6及びNF3の少なくとも一方のガスを加えた混合ガスを
用い、前記ビアホールの底面に露出した第1の膜をドラ
イエッチングして、前記配線を露出させる工程と、前記
ビアホール及び前記配線溝内を、導電性部材で埋め込む
工程とを有する半導体装置の製造方法。 (付記10) 前記第1の膜を形成する工程において、
原料ガスとしてテトラメチルシランと二酸化炭素とを用
い、二酸化炭素の流量に対するテトラメチルシランの流
量の比を0.2〜0.6として、化学気相成長により前
記第1の膜を形成する付記9に記載の半導体装置の製造
方法。 (付記11) 半導体基板の表面上に、原料ガスとして
テトラメチルシランと二酸化炭素とを用い、二酸化炭素
の流量に対するテトラメチルシランの流量の比を0.2
〜0.6として、化学気相成長によりシリコンカーバイ
ドからなる第1の膜を形成する工程と、前記第1の膜の
上に、シリコンカーバイドとはエッチング耐性の異なる
絶縁材料からなる第2の膜を形成する工程と、前記第2
の膜の上に、開口を有するレジスト膜を形成する工程
と、前記第2の膜のエッチング速度が、前記第1の膜の
エッチング速度よりも速くなる条件で、前記レジスト膜
をマスクとして、前記第2の膜をエッチングし、前記第
1の膜の一部を露出させる工程とを有する半導体装置の
製造方法。 (付記12) 前記第2の膜が、フロロシリケートガラ
スで形成されている付記11に記載の半導体装置の製造
方法。
【0099】
【発明の効果】以上説明したように、本発明によれば、
従来のSiNに代わって、誘電率の低いSiCをハード
マスクやエッチングストッパ膜に使用することができ
る。これにより、配線間の寄生容量を小さくし、半導体
集積回路装置の動作速度の向上を図ることができる。
【図面の簡単な説明】
【図1】本発明の第1の実施例による半導体装置の製造
方法を説明するための基板の断面図である。
【図2】本発明の実施例で使用するRIE装置の概略図
である。
【図3】本発明の第2の実施例による半導体装置の製造
方法を説明するための基板の断面図(その1)である。
【図4】本発明の第2の実施例による半導体装置の製造
方法を説明するための基板の断面図(その2)である。
【図5】本発明の第3の実施例による半導体装置の製造
方法を説明するための基板の断面図(その1)である。
【図6】本発明の第3の実施例による半導体装置の製造
方法を説明するための基板の断面図(その2)である。
【図7】本発明の第4の実施例による半導体装置の製造
方法を説明するための基板の断面図(その1)である。
【図8】本発明の第4の実施例による半導体装置の製造
方法を説明するための基板の断面図(その2)である。
【図9】本発明の第4の実施例による半導体装置の製造
方法を説明するための基板の断面図(その3)である。
【図10】従来のSiC膜のFT−IR結果を示すグラ
フである。
【図11】テトラメチルシランとCO2との流量比を変
えて成膜した種々のSiC膜のFT−IR結果を示すグ
ラフである。
【図12】成膜時のテトラメチルシランとCO2との流
量比と、SiC膜のエッチング速度との関係を示すグラ
フである。
【図13】成膜時のテトラメチルシランとCO2との流
量比と、ストレスシフトとの関係を示すグラフである。
【図14】上記実施例を適用した半導体装置の製造方法
を説明するための基板の断面図(その1)である。
【図15】上記実施例を適用した半導体装置の製造方法
を説明するための基板の断面図(その2)である。
【図16】上記実施例を適用した半導体装置の製造方法
を説明するための基板の断面図(その3)である。
【図17】上記実施例を適用した半導体装置の製造方法
を説明するための基板の断面図(その4)である。
【図18】上記実施例を適用した半導体装置の製造方法
を説明するための基板の断面図(その5)である。
【図19】上記実施例を適用した半導体装置の製造方法
を説明するための基板の断面図(その6)である。
【図20】上記実施例を適用した半導体装置の製造方法
を説明するための基板の断面図(その6)である。
【符号の説明】
1、4、11、14、21、30、42 層間絶縁膜 2、12、22、33 配線 3、13、23、41 エッチングストッパ膜 5、43 ハードマスク 6、16、26、44、47、61 レジスト膜 15 反射防止膜 24 保護膜 25 カバー膜 27 開口 28 ボンディングパッド 31 配線層絶縁膜 32、34 バリアメタル層 45 ビアホール 46 配線溝 51 基板 52 素子分離絶縁領域 53 MOSFET 60 層間絶縁膜 62S、62D、75、92 ビアホール 63、72L、72、150 バリアメタル層 64 導電プラグ 70 第1層目配線層絶縁膜 71、85 キャップ膜 73L 導電層 74、90 レジストパターン 75、151 Cu配線 76、87、91 開口 80 拡散バリア膜 81 ビア層絶縁膜 82 下側エッチングストッパ膜 83 上側エッチングストッパ膜 84 配線層絶縁膜 86 ハードマスク 93 配線溝
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成13年12月14日(2001.12.
14)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】請求項2
【補正方法】変更
【補正内容】
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0029
【補正方法】変更
【補正内容】
【0029】図3(B)に示すように、レジスト膜16
をマスクとし、反射防止膜15を、例えばCHF3とO2
との混合ガスを用いてドライエッチングする。さらに、
層間絶縁膜14を、図2に示したRIE装置を用いてエ
ッチングする。使用するエッチングガスは、例えばC4
8、C58、Ar、CO、及びO2の混合ガスである。
このエッチング条件の下で、エッチングストッパ膜13
のエッチング速度に対する層間絶縁膜14のエッチング
速度の比(エッチング選択比)が高いため、エッチング
ストッパ膜13が露出した時点でエッチングをほぼ停止
させることができる。底面にエッチングストッパ膜13
の一部が露出したビアホール14Aが形成される。
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】0090
【補正方法】変更
【補正内容】
【0090】図18(J)に示すように、ハードマスク
86をパターニングし、開口87を形成する。開口87
は、配線層絶縁膜81内に形成する配線のパターンに対
応する。ハードマスク86のパターニングは、第1の実
施例の図1(B)に示したハードマスク5のエッチング
と同様の条件で行われる。
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】0093
【補正方法】変更
【補正内容】
【0093】図19(L)に示すように、ハードマスク
86をマスクとして、層間絶縁膜81を、その上面から
深さ方向の途中までエッチングし、配線溝93を形成す
る。このとき、ビアホール92の底面がさらにエッチン
グされ、ビアホール92が層間絶縁膜81を貫通する。
このエッチングは、エッチングガスとしてC48、C 5
8、Ar、CO、及びO2の混合ガスを用いたRIEに
より行うことができる。
【手続補正5】
【補正対象書類名】明細書
【補正対象項目名】0098
【補正方法】変更
【補正内容】
【0098】上述の実施例から、以下の付記に示された
発明が導出される。 (付記1) 半導体基板上に、シリコンカーバイドとは
エッチング耐性の異なる材料からなる第1の膜を形成す
る工程と、前記第1の膜の上に、水素を含有するシリコ
ンカーバイドからなる第2の膜を形成する工程と、前記
第2の膜の上に、開口を有するレジスト膜を形成する工
程と、フロロカーボンガスに、SF6及びNF3の少なく
とも一方のガスを加えた混合ガスを用い、前記レジスト
膜をマスクとして、前記第2の膜をドライエッチングす
る工程と、前記第2の膜をマスクとして、前記第1の膜
をエッチングする工程とを有する半導体装置の製造方
法。 (付記2) 絶縁性の表面の一部に、導電性領域が露出
した基板を準備する工程と、前記基板の表面上に、水素
を含有するシリコンカーバイドからなる第1の膜を形成
する工程と、前記第1の膜の上に、絶縁材料からなる第
2の膜を形成する工程と、前記第2の膜の上に、開口を
有するレジスト膜を形成する工程と、前記レジスト膜を
マスクとして、前記第2の膜をエッチングして凹部を形
成し、該凹部の底面に前記第1の膜の一部を露出させる
工程と、前記レジスト膜をアッシングして除去する工程
と、フロロカーボンガスに、SF6及びNF3の少なくと
も一方のガスを加えた混合ガスを用い、前記凹部の底面
に露出した第1の膜をドライエッチングして、前記基板
の導電性領域を露出させる工程と、前記凹部内に、導電
性部材を埋め込む工程とを有する半導体装置の製造方
法。 (付記3) 前記基板の表面に露出していた導電性領域
が銅配線である付記2に記載の半導体装置の製造方法。 (付記4) 前記銅配線の上面が、Ta、TaN、T
i、TiNからなる群より選択された一つの材料からな
るバリアメタル層で覆われている付記3に記載の半導体
装置の製造方法。 (付記5) 前記第2の膜が、シリコン酸化膜、フォス
フォシリケートガラスからなる膜、ボロフォスフォシリ
ケートガラスからなる膜、フルオロシリケートガラスか
らなる膜、水素シルセスキオキサンからなる膜、テトラ
エチルオルソシリケートを用いて堆積した膜、スピンオ
ングラスにより形成した膜、カーボン含有シリコン酸化
膜、シリコンを含む発泡性多孔質膜、及び有機材料から
なる絶縁膜からなる群より選択された一つの膜である付
記2乃至4のいずれかに記載の半導体装置の製造方法。 (付記6) 前記第1の膜を形成する工程において、原
料ガスとしてテトラメチルシランと二酸化炭素とを用
い、二酸化炭素の流量に対するテトラメチルシランの流
量の比を0.2〜0.6として、化学気相成長により前
記第1の膜を形成する付記2乃至5のいずれかに記載の
半導体装置の製造方法。 (付記7) 絶縁性の表面の一部に、導電性部材が露出
した基板を準備する工程と、前記基板の表面上に、水素
を含有するシリコンカーバイドからなる第1の膜を形成
する工程と、前記第1の膜の上に、シリコンカーバイド
とはエッチング耐性の異なる絶縁材料からなる第2の膜
を形成する工程と、前記第2の膜の上に、水素を含有す
るシリコンカーバイドからなる第3の膜を形成する工程
と、前記第3の膜の上に、基板表面の法線に平行な視線
で見たとき、前記導電性部材と部分的に重なる位置に開
口を有するレジスト膜を形成する工程と、フロロカーボ
ンガスに、SF6及びNF3の少なくとも一方のガスを加
えた混合ガスを用い、前記レジスト膜をマスクとして、
前記第3の膜をエッチングする工程と、前記第1の膜の
エッチング速度よりも前記第2の膜のエッチング速度の
方が速くなる条件で、前記レジスト膜をマスクとして前
記第2の膜をエッチングして凹部を形成し、該凹部の底
面に前記第1の膜の一部を露出させる工程と、前記レジ
スト膜をアッシングして除去する工程と、フロロカーボ
ンガスに、SF6及びNF3の少なくとも一方のガスを加
えた混合ガスを用い、前記凹部の底面に露出した第1の
膜をドライエッチングして、前記基板の導電性部材を露
出させる工程とを有する半導体装置の製造方法。 (付記8) 前記第1の膜を形成する工程において、原
料ガスとしてテトラメチルシランと二酸化炭素とを用
い、二酸化炭素の流量に対するテトラメチルシランの流
量の比を0.2〜0.6として、化学気相成長により前
記第1の膜を形成する付記7に記載の半導体装置の製造
方法。 (付記9) 絶縁性の表面の一部に、配線が露出した基
板を準備する工程と、前記基板の表面上に、水素を含有
するシリコンカーバイドからなる第1の膜を形成する工
程と、前記第1の膜の上に、シリコンカーバイドとはエ
ッチング耐性の異なる絶縁材料からなる第2の膜を形成
する工程と、前記第2の膜の上に、水素を含有するシリ
コンカーバイドからなる第3の膜を形成する工程と、前
記第3の膜の上に、基板表面の法線に平行な視線で見た
とき、前記導電性部材と部分的に重なる位置に第1の開
口を有する第1のレジスト膜を形成する工程と、フロロ
カーボンガスに、SF6及びNF3の少なくとも一方のガ
スを加えた混合ガスを用い、前記レジスト膜をマスクと
して、前記第3の膜をエッチングし、前記第2の膜の表
面の一部を露出させる工程と、前記第1のレジスト膜を
除去する工程と、エッチングされた前記第3の膜、及び
露出した前記第2の膜の表面上に、前記第1の開口に内
包され、前記配線と部分的に重なる位置に第2の開口が
形成された第2のレジスト膜を形成する工程と、前記第
2のレジスト膜をマスクとして、前記第2の膜を、少な
くとも深さ方向の途中までエッチングする工程と、前記
第2のレジスト膜を除去する工程と、部分的にエッチン
グされた前記第3の膜をマスクとして、前記第2の膜を
エッチングし、前記第2の開口が形成されていた領域に
おいては、前記第1の膜まで達するビアホールを形成
し、前記第1の開口内で、かつ前記第2の開口外の領域
においては、前記第2の膜の深さ方向の途中まで達する
配線溝を形成する工程と、フロロカーボンガスに、SF
6及びNF3の少なくとも一方のガスを加えた混合ガスを
用い、前記ビアホールの底面に露出した第1の膜をドラ
イエッチングして、前記配線を露出させる工程と、前記
ビアホール及び前記配線溝内を、導電性部材で埋め込む
工程とを有する半導体装置の製造方法。 (付記10) 前記第1の膜を形成する工程において、
原料ガスとしてテトラメチルシランと二酸化炭素とを用
い、二酸化炭素の流量に対するテトラメチルシランの流
量の比を0.2〜0.6として、化学気相成長により前
記第1の膜を形成する付記9に記載の半導体装置の製造
方法。 (付記11) 半導体基板の表面上に、原料ガスとして
テトラメチルシランと二酸化炭素とを用い、二酸化炭素
の流量に対するテトラメチルシランの流量の比を0.2
〜0.6として、化学気相成長によりシリコンカーバイ
ドからなる第1の膜を形成する工程と、前記第1の膜の
上に、シリコンカーバイドとはエッチング耐性の異なる
絶縁材料からなる第2の膜を形成する工程と、前記第2
の膜の上に、開口を有するレジスト膜を形成する工程
と、前記第2の膜のエッチング速度が、前記第1の膜の
エッチング速度よりも速くなる条件で、前記レジスト膜
をマスクとして、前記第2の膜をエッチングし、前記第
1の膜の一部を露出させる工程とを有する半導体装置の
製造方法。 (付記12) 前記第2の膜が、フロロシリケートガラ
スで形成されている付記11に記載の半導体装置の製造
方法。
【手続補正6】
【補正対象書類名】図面
【補正対象項目名】図18
【補正方法】変更
【補正内容】
【図18】
【手続補正7】
【補正対象書類名】図面
【補正対象項目名】図19
【補正方法】変更
【補正内容】
【図19】
【手続補正8】
【補正対象書類名】図面
【補正対象項目名】図20
【補正方法】変更
【補正内容】
【図20】
【手続補正書】
【提出日】平成14年1月9日(2002.1.9)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】0094
【補正方法】変更
【補正内容】
【0094】図19(M)に示すように、ハードマスク
86、及びビアホール92の底面に露出している拡散バ
リア膜80をエッチングする。このエッチングは、第2
の実施例の図4(D)で説明したエッチングストッパ膜
13のエッチングと同様の条件で行う。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 各務 克巳 愛知県春日井市高蔵寺町二丁目1844番2号 富士通ヴィエルエスアイ株式会社内 Fターム(参考) 4M104 AA01 BB14 BB30 BB32 CC01 CC05 DD15 DD19 DD37 DD43 DD72 DD75 EE05 EE09 EE14 EE15 EE16 FF17 FF18 FF22 GG09 HH20 5F004 AA05 BA09 BA14 BA20 BB11 CA03 CA04 DA01 DA15 DA16 DA17 DA18 DA23 DA26 DA30 DB00 DB03 DB04 DB06 DB07 EA03 EA23 5F033 HH08 HH11 HH21 HH32 HH33 JJ01 JJ08 JJ11 JJ18 JJ19 JJ21 JJ32 JJ33 KK11 KK18 KK19 KK21 KK32 KK33 MM01 MM02 MM11 MM12 MM13 NN06 NN07 PP06 PP15 PP27 QQ04 QQ09 QQ10 QQ12 QQ13 QQ21 QQ25 QQ31 QQ35 QQ48 RR01 RR04 RR06 RR09 RR11 RR12 RR14 RR15 RR25 SS04 SS11 TT02 TT04 TT08 VV06 VV07 WW00 XX24

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に、シリコンカーバイドと
    はエッチング耐性の異なる材料からなる第1の膜を形成
    する工程と、 前記第1の膜の上に、水素を含有するシリコンカーバイ
    ドからなる第2の膜を形成する工程と、 前記第2の膜の上に、開口を有するレジスト膜を形成す
    る工程と、 フロロカーボンガスに、SF6及びNF3の少なくとも一
    方のガスを加えた混合ガスを用い、前記レジスト膜をマ
    スクとして、前記第2の膜をドライエッチングする工程
    と、 前記第2の膜をマスクとして、前記第1の膜をエッチン
    グする工程とを有する半導体装置の製造方法。
  2. 【請求項2】 絶縁性の表面の一部に、導電性部材が露
    出した基板を準備する工程と、 前記基板の表面上に、水素を含有するシリコンカーバイ
    ドからなる第1の膜を形成する工程と、 前記第1の膜の上に、絶縁材料からなる第2の膜を形成
    する工程と、 前記第2の膜の上に、開口を有するレジスト膜を形成す
    る工程と、 前記レジスト膜をマスクとして、前記第2の膜をエッチ
    ングして凹部を形成し、該凹部の底面に前記第1の膜の
    一部を露出させる工程と、 前記レジスト膜をアッシングして除去する工程と、 フロロカーボンガスに、SF6及びNF3の少なくとも一
    方のガスを加えた混合ガスを用い、前記凹部の底面に露
    出した第1の膜をドライエッチングして、前記基板の導
    電性領域を露出させる工程と、 前記凹部内に、導電性部材を埋め込む工程とを有する半
    導体装置の製造方法。
  3. 【請求項3】 前記基板の表面に露出していた導電性領
    域が銅配線である請求項2に記載の半導体装置の製造方
    法。
  4. 【請求項4】 前記第2の膜が、シリコン酸化膜、フォ
    スフォシリケートガラスからなる膜、ボロフォスフォシ
    リケートガラスからなる膜、フルオロシリケートガラス
    からなる膜、水素シルセスキオキサンからなる膜、テト
    ラエチルオルソシリケートを用いて堆積した膜、スピン
    オングラスにより形成した膜、カーボン含有シリコン酸
    化膜、シリコンを含む発泡性多孔質膜、及び有機材料か
    らなる絶縁膜からなる群より選択された一つの膜である
    請求項2または3に記載の半導体装置の製造方法。
  5. 【請求項5】 前記第1の膜を形成する工程において、
    原料ガスとしてテトラメチルシランと二酸化炭素とを用
    い、二酸化炭素の流量に対するテトラメチルシランの流
    量の比を0.2〜0.6として、化学気相成長により前
    記第1の膜を形成する請求項2乃至4のいずれかに記載
    の半導体装置の製造方法。
  6. 【請求項6】 絶縁性の表面の一部に、導電性部材が露
    出した基板を準備する工程と、 前記基板の表面上に、水素を含有するシリコンカーバイ
    ドからなる第1の膜を形成する工程と、 前記第1の膜の上に、シリコンカーバイドとはエッチン
    グ耐性の異なる絶縁材料からなる第2の膜を形成する工
    程と、 前記第2の膜の上に、水素を含有するシリコンカーバイ
    ドからなる第3の膜を形成する工程と、 前記第3の膜の上に、基板表面の法線に平行な視線で見
    たとき、前記導電性部材と部分的に重なる位置に開口を
    有するレジスト膜を形成する工程と、 フロロカーボンガスに、SF6及びNF3の少なくとも一
    方のガスを加えた混合ガスを用い、前記レジスト膜をマ
    スクとして、前記第3の膜をエッチングする工程と、 前記第1の膜のエッチング速度よりも前記第2の膜のエ
    ッチング速度の方が速くなる条件で、前記レジスト膜を
    マスクとして前記第2の膜をエッチングして凹部を形成
    し、該凹部の底面に前記第1の膜の一部を露出させる工
    程と、 前記レジスト膜をアッシングして除去する工程と、 フロロカーボンガスに、SF6及びNF3の少なくとも一
    方のガスを加えた混合ガスを用い、前記凹部の底面に露
    出した第1の膜をドライエッチングして、前記基板の導
    電性部材を露出させる工程とを有する半導体装置の製造
    方法。
  7. 【請求項7】 前記第1の膜を形成する工程において、
    原料ガスとしてテトラメチルシランと二酸化炭素とを用
    い、二酸化炭素の流量に対するテトラメチルシランの流
    量の比を0.2〜0.6として、化学気相成長により前
    記第1の膜を形成する請求項6に記載の半導体装置の製
    造方法。
  8. 【請求項8】 絶縁性の表面の一部に、配線が露出した
    基板を準備する工程と、 前記基板の表面上に、水素を含有するシリコンカーバイ
    ドからなる第1の膜を形成する工程と、 前記第1の膜の上に、シリコンカーバイドとはエッチン
    グ耐性の異なる絶縁材料からなる第2の膜を形成する工
    程と、 前記第2の膜の上に、水素を含有するシリコンカーバイ
    ドからなる第3の膜を形成する工程と、 前記第3の膜の上に、基板表面の法線に平行な視線で見
    たとき、前記導電性部材と部分的に重なる位置に第1の
    開口を有する第1のレジスト膜を形成する工程と、 フロロカーボンガスに、SF6及びNF3の少なくとも一
    方のガスを加えた混合ガスを用い、前記レジスト膜をマ
    スクとして、前記第3の膜をエッチングし、前記第2の
    膜の表面の一部を露出させる工程と、 前記第1のレジスト膜を除去する工程と、 エッチングされた前記第3の膜、及び露出した前記第2
    の膜の表面上に、前記第1の開口に内包され、前記配線
    と部分的に重なる位置に第2の開口が形成された第2の
    レジスト膜を形成する工程と、 前記第2のレジスト膜をマスクとして、前記第2の膜
    を、少なくとも深さ方向の途中までエッチングする工程
    と、 前記第2のレジスト膜を除去する工程と、 部分的にエッチングされた前記第3の膜をマスクとし
    て、前記第2の膜をエッチングし、前記第2の開口が形
    成されていた領域においては、前記第1の膜まで達する
    ビアホールを形成し、前記第1の開口内で、かつ前記第
    2の開口外の領域においては、前記第2の膜の深さ方向
    の途中まで達する配線溝を形成する工程と、 フロロカーボンガスに、SF6及びNF3の少なくとも一
    方のガスを加えた混合ガスを用い、前記ビアホールの底
    面に露出した第1の膜をドライエッチングして、前記配
    線を露出させる工程と、 前記ビアホール及び前記配線溝内を、導電性部材で埋め
    込む工程とを有する半導体装置の製造方法。
  9. 【請求項9】 前記第1の膜を形成する工程において、
    原料ガスとしてテトラメチルシランと二酸化炭素とを用
    い、二酸化炭素の流量に対するテトラメチルシランの流
    量の比を0.2〜0.6として、化学気相成長により前
    記第1の膜を形成する請求項8に記載の半導体装置の製
    造方法。
  10. 【請求項10】 半導体基板の表面上に、原料ガスとし
    てテトラメチルシランと二酸化炭素とを用い、二酸化炭
    素の流量に対するテトラメチルシランの流量の比を0.
    2〜0.6として、化学気相成長によりシリコンカーバ
    イドからなる第1の膜を形成する工程と、 前記第1の膜の上に、シリコンカーバイドとはエッチン
    グ耐性の異なる絶縁材料からなる第2の膜を形成する工
    程と、 前記第2の膜の上に、開口を有するレジスト膜を形成す
    る工程と、 前記第2の膜のエッチング速度が、前記第1の膜のエッ
    チング速度よりも速くなる条件で、前記レジスト膜をマ
    スクとして、前記第2の膜をエッチングし、前記第1の
    膜の一部を露出させる工程とを有する半導体装置の製造
    方法。
JP2001312883A 2001-10-10 2001-10-10 半導体装置の製造方法 Pending JP2003124189A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2001312883A JP2003124189A (ja) 2001-10-10 2001-10-10 半導体装置の製造方法
EP02002111A EP1302981A3 (en) 2001-10-10 2002-01-28 Method of manufacturing semiconductor device having silicon carbide film
TW091101468A TW522519B (en) 2001-10-10 2002-01-29 Method of manufacturing semiconductor device having silicon carbide film
US10/058,426 US20030068582A1 (en) 2001-10-10 2002-01-30 Method of manufacturing semiconductor device having silicon carbide film
CNB021062994A CN1222030C (zh) 2001-10-10 2002-04-10 制造具有碳化硅膜的半导体器件的方法
KR1020020037574A KR20030030838A (ko) 2001-10-10 2002-06-29 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001312883A JP2003124189A (ja) 2001-10-10 2001-10-10 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2003124189A true JP2003124189A (ja) 2003-04-25

Family

ID=19131463

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001312883A Pending JP2003124189A (ja) 2001-10-10 2001-10-10 半導体装置の製造方法

Country Status (6)

Country Link
US (1) US20030068582A1 (ja)
EP (1) EP1302981A3 (ja)
JP (1) JP2003124189A (ja)
KR (1) KR20030030838A (ja)
CN (1) CN1222030C (ja)
TW (1) TW522519B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296835A (ja) * 2003-03-27 2004-10-21 Applied Materials Inc ダマシン構造を形成する方法
JP2005050908A (ja) * 2003-07-30 2005-02-24 Hitachi High-Technologies Corp Lsiデバイスのエッチング方法および装置
JP2006147633A (ja) * 2004-11-16 2006-06-08 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
US7189643B2 (en) 2003-07-25 2007-03-13 Fujitsu Limited Semiconductor device and method of fabricating the same
JP2007516622A (ja) * 2003-12-23 2007-06-21 ラム リサーチ コーポレーション プラズマ処理システムにおける選択性の制御方法
KR100862315B1 (ko) 2007-03-23 2008-10-13 주식회사 하이닉스반도체 마스크 리워크 방법
JP2009532917A (ja) * 2006-04-04 2009-09-10 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体デバイス内のアルミニウム端子パッド材料を除去する方法及び構造体
JP2009278132A (ja) * 2005-08-23 2009-11-26 Taiwan Semiconductor Manufacturing Co Ltd 配線構造の製造方法
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
JP2016046466A (ja) * 2014-08-26 2016-04-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20160039546A (ko) * 2014-10-01 2016-04-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 배선층 및 그 제작 방법

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
JP4071069B2 (ja) * 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
US6903011B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Displacement method to grow cu overburden
TWI250558B (en) * 2003-10-23 2006-03-01 Hynix Semiconductor Inc Method for fabricating semiconductor device with fine patterns
KR100529676B1 (ko) * 2003-12-31 2005-11-17 동부아남반도체 주식회사 듀얼 다마신 패턴을 형성하는 방법
US7173285B2 (en) * 2004-03-18 2007-02-06 Cree, Inc. Lithographic methods to reduce stacking fault nucleation sites
CN100433256C (zh) * 2004-03-18 2008-11-12 克里公司 减少堆垛层错成核位置的顺序光刻方法和具有减少的堆垛层错成核位置的结构
US20060049139A1 (en) * 2004-08-26 2006-03-09 Tokyo Electron Limited Method and system for etching a gate stack
US7915735B2 (en) * 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
US20070218699A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP2008053507A (ja) * 2006-08-25 2008-03-06 Matsushita Electric Ind Co Ltd ドライエッチング方法
JP4948278B2 (ja) * 2006-08-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100533678C (zh) * 2006-11-09 2009-08-26 厦门大学 减少ICP刻蚀SiC表面损伤的方法
US7767578B2 (en) 2007-01-11 2010-08-03 United Microelectronics Corp. Damascene interconnection structure and dual damascene process thereof
CN101231968B (zh) * 2007-01-26 2010-11-17 联华电子股份有限公司 镶嵌内连线结构与双镶嵌工艺
KR100924545B1 (ko) * 2007-03-15 2009-11-02 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN101157569B (zh) * 2007-09-03 2010-06-02 中国电子科技集团公司第十三研究所 一种无损腐蚀碳化硅的方法
JP6249815B2 (ja) 2014-02-17 2017-12-20 株式会社Ihi 耐熱複合材料の製造方法及び製造装置
US10453684B1 (en) * 2018-05-09 2019-10-22 Applied Materials, Inc. Method for patterning a material layer with desired dimensions

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2364989C3 (de) * 1973-12-28 1979-10-18 Consortium Fuer Elektrochemische Industrie Gmbh, 8000 Muenchen Verfahren zur Herstellung von Schichten aus Siliciumcarbid auf einem Siliciumsubstrat
JPS58141377A (ja) * 1982-02-16 1983-08-22 Seiko Epson Corp プラズマコ−テイング法
JP3229002B2 (ja) * 1992-04-24 2001-11-12 キヤノン株式会社 電子写真用光受容部材
US5433823A (en) * 1993-09-30 1995-07-18 Cain; John L. Selective dry-etching of bi-layer passivation films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6287959B1 (en) * 1998-04-23 2001-09-11 Advanced Micro Devices, Inc. Deep submicron metallization using deep UV photoresist
US6599814B1 (en) * 1999-05-03 2003-07-29 Interuniversitair Microelektronica Centrum (Imec) Method for removal of sic
US6261157B1 (en) * 1999-05-25 2001-07-17 Applied Materials, Inc. Selective damascene chemical mechanical polishing
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
US6475889B1 (en) * 2000-04-11 2002-11-05 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6730597B1 (en) * 2000-08-03 2004-05-04 Texas Instruments Incorporated Pre-ECD wet surface modification to improve wettability and reduced void defect
US6362094B1 (en) * 2000-08-16 2002-03-26 Agere Systems Guardian Corp. Hydrogenated silicon carbide as a liner for self-aligning contact vias
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6717194B2 (en) * 2001-10-30 2004-04-06 Micron Technology, Inc. Magneto-resistive bit structure and method of manufacture therefor

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296835A (ja) * 2003-03-27 2004-10-21 Applied Materials Inc ダマシン構造を形成する方法
US7189643B2 (en) 2003-07-25 2007-03-13 Fujitsu Limited Semiconductor device and method of fabricating the same
JP2005050908A (ja) * 2003-07-30 2005-02-24 Hitachi High-Technologies Corp Lsiデバイスのエッチング方法および装置
JP2007516622A (ja) * 2003-12-23 2007-06-21 ラム リサーチ コーポレーション プラズマ処理システムにおける選択性の制御方法
KR101116588B1 (ko) * 2003-12-23 2012-03-15 램 리써치 코포레이션 플라즈마 프로세싱 시스템에서의 선택도 제어
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
JP2006147633A (ja) * 2004-11-16 2006-06-08 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP4615290B2 (ja) * 2004-11-16 2011-01-19 東京エレクトロン株式会社 プラズマエッチング方法
JP2009278132A (ja) * 2005-08-23 2009-11-26 Taiwan Semiconductor Manufacturing Co Ltd 配線構造の製造方法
JP2009532917A (ja) * 2006-04-04 2009-09-10 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体デバイス内のアルミニウム端子パッド材料を除去する方法及び構造体
KR100862315B1 (ko) 2007-03-23 2008-10-13 주식회사 하이닉스반도체 마스크 리워크 방법
JP2016046466A (ja) * 2014-08-26 2016-04-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20160039546A (ko) * 2014-10-01 2016-04-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 배선층 및 그 제작 방법
JP2016072633A (ja) * 2014-10-01 2016-05-09 株式会社半導体エネルギー研究所 配線層およびその作製方法
JP2020061567A (ja) * 2014-10-01 2020-04-16 株式会社半導体エネルギー研究所 半導体装置
US10644039B2 (en) 2014-10-01 2020-05-05 Semiconductor Energy Laboratory Co., Ltd. Wiring layer and manufacturing method therefor
US11211408B2 (en) 2014-10-01 2021-12-28 Semiconductor Energy Laboratory Co., Ltd. Wiring layer and manufacturing method therefor
KR102481037B1 (ko) 2014-10-01 2022-12-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 배선층 및 그 제작 방법
US11616085B2 (en) 2014-10-01 2023-03-28 Semiconductor Energy Laboratory Co., Ltd. Wiring layer and manufacturing method therefor
US11901372B2 (en) 2014-10-01 2024-02-13 Semiconductor Energy Laboratory Co., Ltd. Wiring layer and manufacturing method therefor

Also Published As

Publication number Publication date
US20030068582A1 (en) 2003-04-10
EP1302981A3 (en) 2004-06-02
TW522519B (en) 2003-03-01
CN1411050A (zh) 2003-04-16
CN1222030C (zh) 2005-10-05
KR20030030838A (ko) 2003-04-18
EP1302981A2 (en) 2003-04-16

Similar Documents

Publication Publication Date Title
JP2003124189A (ja) 半導体装置の製造方法
US6905968B2 (en) Process for selectively etching dielectric layers
US7564136B2 (en) Integration scheme for Cu/low-k interconnects
US6689695B1 (en) Multi-purpose composite mask for dual damascene patterning
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US6191028B1 (en) Method of patterning dielectric
US9824918B2 (en) Method for electromigration and adhesion using two selective deposition
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
US20050026422A1 (en) Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
US7282441B2 (en) De-fluorination after via etch to preserve passivation
US6506680B1 (en) Method of forming connections with low dielectric insulating layers
US7569481B2 (en) Method for forming via-hole in semiconductor device
US7172964B2 (en) Method of preventing photoresist poisoning of a low-dielectric-constant insulator
JP3189970B2 (ja) 半導体装置の製造方法
US7488687B2 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
US6849536B2 (en) Inter-metal dielectric patterns and method of forming the same
JP4108310B2 (ja) シリコン含有絶縁膜を有する半導体装置の製造方法
US20080119040A1 (en) Method for forming a dual damascene structure
US20020033486A1 (en) Method for forming an interconnection line using a hydrosilsesquioxane (HSQ) layer as an interlayer insulating layer
US6632707B1 (en) Method for forming an interconnect structure using a CVD organic BARC to mitigate via poisoning
KR100399909B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR100389041B1 (ko) 에이치에스큐막을 층간절연막으로 사용하는 배선 형성 방법
KR100367852B1 (ko) 포토레지스트에 대해 고선택비를 갖는 에칭 방법
KR100772249B1 (ko) 듀얼 다마신을 이용한 금속 배선의 제조 방법
KR20060038154A (ko) 응착력이 향상된 반도체 배선 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041006

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050802

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050816

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050830

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060328