US6191028B1 - Method of patterning dielectric - Google Patents

Method of patterning dielectric Download PDF

Info

Publication number
US6191028B1
US6191028B1 US09/059,751 US5975198A US6191028B1 US 6191028 B1 US6191028 B1 US 6191028B1 US 5975198 A US5975198 A US 5975198A US 6191028 B1 US6191028 B1 US 6191028B1
Authority
US
United States
Prior art keywords
layer
dielectric layer
masking
dielectric
cap insulation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US09/059,751
Inventor
Yimin Huang
Tri-Rung Yew
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUANG, YIMIN, YEW, TRI-RUNG
Priority to US09/729,357 priority Critical patent/US20010000155A1/en
Application granted granted Critical
Publication of US6191028B1 publication Critical patent/US6191028B1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks

Definitions

  • the invention relates to a method of patterning a dielectric layer, and more particularly to a method of patterning a dielectric layer with a low dielectric constant k.
  • the density of interconnect pitch is higher and higher.
  • a common dielectric layer for example, a silicon oxide layer
  • a high RC delay is easily caused. Therefore, this kind of dielectric layer is not used as an inter-metal dielectric (IMD) in a high speed IC any longer.
  • IMD inter-metal dielectric
  • To apply a low k dielectric layer has the advantage such as reducing the interconnection parasitic capacitance, consequently reducing the RC delay, or mitigating the cross talk between metal lines, hence, the operation speed is improved.
  • the low k dielectric layer is a very popular IMD material used in a high speed IC.
  • a common low k dielectric layer comprises organic polymers, for example, flare and parylene which are very suitable for used as an IMD.
  • FIG. 1A to FIG. 1D show the process of fabricating metal interconnects.
  • a dielectric layer 12 is formed, or example, using chemical vapour deposition (CVD) or spin-on-glass (SOG) to deposit organic polymer with a thickness of about 3000 ⁇ to 10000 ⁇ .
  • An insulation masking layer 13 such as a silicon oxide layer is formed on the dielectric layer 12 as a hard mask for the subsequent etching process.
  • the insulation masking layer 13 is formed, for example, by CVD with silane (SiH 4 ) and oxygen, and tetra-ethyl-oxy-silicate (TEOS) as reacting gas.
  • TEOS tetra-ethyl-oxy-silicate
  • the insulation masking layer 13 and the dielectric layer 12 are etched to form an opening 12 and to expose the metal wiring layer 11 .
  • the photo-resist layer 14 is removed. Similar to the material contained in the photo-resist layer 14 , the material contained in the photo-resist layer 14 has a large proportion of carbon. Thus, the dielectric layer 12 is removed while removing the photo-resist layer 14 .
  • a bowing side wall 16 is formed within the opening 15 .
  • the step coverage is affected by the formation of the bowing side wall. Therefore, the stability and reliability of the devices are degraded.
  • the formation of a low k dielectric layer 12 in the process of interconnection has quite a few disadvantages. While removing the photo-resist layer 14 , since the dielectric material is very similar to the photo-resist material, for example, both containing a large proportion of carbon, part of the low k dielectric layer 12 within the opening 15 is removed too. A bowing side wall 16 is thus formed within the opening 15 . The bowing side wall 16 causes difficulty during the subsequent deposition process, and therefore, a poor step coverage is resulted. The conductivity for interconnects and the stability for devices are degraded. The degradation is more obvious as the dimension of and distances between devices becomes smaller and smaller.
  • the disadvantage of easily etched by plasma containing oxygen is improved. Therefore, it is more advantageous for the fabrication of interconnects.
  • the invention is directed towards a method of patterning a dielectric layer.
  • a dielectric layer is formed on metal wiring layer formed on a provided substrate.
  • a masking layer is formed on the dielectric layer.
  • a cap insulation layer is formed and patterned to form an opening on the masking layer, and the opening is aligned with the metal wiring layer.
  • the masking layer and the dielectric layer are etched, so that the opening is deepened and the metal wiring layer is exposed.
  • a conductive layer is formed over the substrate to fill the opening.
  • a first dielectric layer, a first masking layer and a first cap insulation layer are formed in sequence.
  • a first opening aligned with the metal wiring layer is formed by etching the first cap insulation layer, so that the underlying first masking layer is exposed.
  • the exposed first masking layer is etched to expose the first dielectric layer.
  • a second dielectric layer, a second masking layer and a second cap insulation are formed over the substrate in sequence.
  • a second opening is formed by etching the second cap insulation, so that the second masking layer is open within the second opening.
  • the exposed second masking layer and the underlying second dielectric layer etched, and the first dielectric is etched by using the second cap insulation layer as a mask until the metal wiring layer is exposed.
  • a conductive layer is formed over the substrate.
  • FIG. 1A to FIG. 1D are cross sectional views of the conventional process for fabricating an interconnects
  • FIG. 2A to FIG. 2E are cross sectional views of the process for patterning a dielectric layer in a preferred embodiment according to the invention.
  • FIG. 3A to FIG. 3H are cross sectional views of the process of patterning a dielectric layer to form a dual damascence structure in another preferred embodiment according to the invention.
  • the plasma containing oxygen is blocked, and the removal of the low k dielectric layer by the plasma is prevented.
  • three step etching process is in use in the invention, therefore, the cap insulation layer is removed completely afterwards without increasing the resistance of metal wiring layer, and the RC delay time is not increased thereby.
  • a dielectric layer 22 is formed on a semiconductor substrate 20 having a metal wiring layer 21 formed thereon.
  • the dielectric layer 22 is a low k dielectric layer, for example, an organic polymer formed by CVD or SOG with a thickness of about 5000 ⁇ to 10000 ⁇ .
  • the dielectric layer 22 is planarized, for example, by etch back or chemical-mechanical polishing (CMP).
  • CMP chemical-mechanical polishing
  • the thickness of the dielectric layer after planarization is adjustable, depending on the structure formed on the substrate 20 .
  • An insulation masking layer 23 for example, a silicon oxide layer, is formed on the dielectric layer 22 as a hard mask for the subsequent etching process.
  • the insulation masking layer 23 is formed, for example, by CVD and using silane and oxygen, or tetra-ethyl-oxy-silicate (TEOS) as reacting gas.
  • a cap insulation layer 24 preferably a silicon nitride layer, is formed on the insulation masking layer 22 .
  • the formation of the cap insulation layer 24 is the characteristic of the invention. With the cap insulation 24 , the dielectric layer is protected from being etched by plasma containing oxygen during the subsequent process.
  • a photo-resist layer 25 is formed and patterned on the cap insulation layer.
  • the cap insulation layer 24 is etched to form an opening 26 and expose the insulation masking layer 23 .
  • the photo-resist resist layer 25 is removed.
  • the dielectric layer 22 is not etched being covered and protected by the cap insulation layer 24 and the insulation masking layer 23 .
  • the thickness of the cap insulation layer 24 is adjusted appropriately, for example, 300 ⁇ to 1000 ⁇ , so as to be etched away completely during the subsequent process for etching the insulation masking layer 23 .
  • an anisotropic etching is performed to remove the insulation masking layer 23 and the dielectric layer 22 until exposing the metal wiring layer 21 .
  • a conductive layer 27 a is formed to fill the opening 27 .
  • the conductive layer 27 a includes aluminium or other metals formed by sputtering or CVD.
  • the conductive layer 27 a is planarized by CMP to form a plug within the opening 27 .
  • FIG. 3A to FIG. 3H Another embodiment using for dual damascence process according to the invention is represented with the reference of FIG. 3A to FIG. 3H as follows.
  • a first dielectric layer 32 a is formed on a semiconductor 30 having a metal wiring layer 31 form thereon.
  • the material of the first dielectric layer 32 a includes low k dielectric such as organic polymer with a thickness about 5000 ⁇ to 10000 ⁇ .
  • the practical thickness of the first dielectric layer 32 a is adjustable, depending on the structure of the metal wiring layer 31 .
  • a first insulation masking layer 33 such as a silicon oxide layer, is formed, for example, by CVD and using silane and oxygen, or TEOS as reacting gas.
  • a first cap insulation layer 34 preferably, a silicon nitride layer, is formed on the first insulation masking layer 33 .
  • the formation of the first cap insulation layer 34 is the characteristic of the invention. With the first cap insulation layer 34 , the first dielectric layer 32 a is protected from being etched by plasma containing oxygen during the subsequent process. A photo-resist layer 35 is formed and patterned on the first cap insulation layer 34 .
  • the first cap insulation layer 34 is thick enough to perform as a mask while etching the underlying first insulation masking layer 33 . Therefore, the thickness of the first cap insulation layer 34 is about 300 ⁇ to 1000 ⁇ .
  • the first dielectric layer 32 a Being covered by the first cap insulation layer 34 and the first insulation masking layer 33 , the first dielectric layer 32 a protected from being etched by the plasma with oxygen.
  • an opening 37 is formed by aniostropically etching the first insulation masking layer 32 b , so that the first dielectric layer 32 a is exposed within the opening 37 .
  • a second dielectric layer 32 b is formed on the first cap insulation layer 34 and the opening 37 .
  • the second dielectric layer 32 b is, for example, an organic polymer with a thickness of about 5000 ⁇ to 8000 ⁇ .
  • a second insulation masking layer 39 such as a silicon oxide layer formed by CVD is formed on the second dielectric layer 32 b .
  • a second cap oxide layer 40 is formed on the insulation masking layer 39 .
  • a photo-resist layer 41 is formed and patterned on the second insulation masking layer 39 .
  • the second cap insulation layer 40 is etched to form an opening 42 , and the second insulation masking layer 39 within the opening 42 is exposed.
  • An appropriate thickness of the second cap insulation layer 40 is about 300 ⁇ to 1000 ⁇ .
  • the first dielectric layer 32 a and the second dielectric layer is assembled as a dielectric layer 32 .
  • the insulation masking layer is anisotropically etched to form an opening 43 , so that the second dielectric layer 32 b is exposed within the opening 43 .
  • the second dielectric layer 32 b and the first dielectric layer 32 a that is, the dielectric layer 32 within the opening, until the metal wiring layer 31 is exposed.
  • a conductive layer 45 is formed, for example, by sputtering or CVD over the substrate 30 .
  • the conductive layer 45 includes aluminium or other metals.
  • the conductive layer 45 is planarized to form a plug within the opening 43 for interconnection.
  • the additional cap insulation layer to define an opening during the process for removing the photo-resist layer, the low k dielectric layer is covered and protected by the cap insulation layer and the insulation masking layer from being etched by the plasma containing oxygen.
  • the insulation masking layer is etched by using the cap insulation layer as a mask.
  • the thickness of the cap insulation layer is adjustable, so that the cap insulation layer is etched together with the insulation masking layer. Therefore, the RC delay time is not increased by the residue of the cap insulation layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method of patterning a dielectric layer. On a substrate having a metal wiring layer formed thereon, a dielectric layer and a masking layer are formed. A cap insulation layer is formed on the masking layer before patterning the dielectric layer. In addition, a dual damasecence process is used for patterning the dielectric layer.

Description

CROSS-REFERENCE TO RELATED APPLICATION
This application claims priority benefit of Taiwan application Serial no. 86118145, filed Dec., 3, 1997, the full disclosure of which is incorporated herein by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The invention relates to a method of patterning a dielectric layer, and more particularly to a method of patterning a dielectric layer with a low dielectric constant k.
2. Description of the Related Art
In the semiconductor fabrication process, as the dimension of devices on a chip becomes smaller and smaller, the density of interconnect pitch is higher and higher. For a common dielectric layer, for example, a silicon oxide layer, due to the high dielectric constant, a high RC delay is easily caused. Therefore, this kind of dielectric layer is not used as an inter-metal dielectric (IMD) in a high speed IC any longer. To apply a low k dielectric layer has the advantage such as reducing the interconnection parasitic capacitance, consequently reducing the RC delay, or mitigating the cross talk between metal lines, hence, the operation speed is improved. Hence, the low k dielectric layer is a very popular IMD material used in a high speed IC.
A common low k dielectric layer comprises organic polymers, for example, flare and parylene which are very suitable for used as an IMD.
FIG. 1A to FIG. 1D show the process of fabricating metal interconnects. Over a substrate 10 having a metal wiring layer 11 formed thereon, a dielectric layer 12 is formed, or example, using chemical vapour deposition (CVD) or spin-on-glass (SOG) to deposit organic polymer with a thickness of about 3000 Å to 10000 Å. An insulation masking layer 13 such as a silicon oxide layer is formed on the dielectric layer 12 as a hard mask for the subsequent etching process. The insulation masking layer 13 is formed, for example, by CVD with silane (SiH4) and oxygen, and tetra-ethyl-oxy-silicate (TEOS) as reacting gas. Using photolithography, a photo-resist layer 14 is formed and patterned on the insulation masking layer 13.
Referring to FIG. 1B, using the photo-resist layer 14 as a mask, the insulation masking layer 13 and the dielectric layer 12 are etched to form an opening 12 and to expose the metal wiring layer 11.
Referring to FIG. 1C, using a plasma containing oxygen as a cleaning agent, the photo-resist layer 14 is removed. Similar to the material contained in the photo-resist layer 14, the material contained in the photo-resist layer 14 has a large proportion of carbon. Thus, the dielectric layer 12 is removed while removing the photo-resist layer 14.
Referring to FIG. 1D, after removing the photo-resist layer, a bowing side wall 16 is formed within the opening 15. In the subsequent process for forming conductive material, the step coverage is affected by the formation of the bowing side wall. Therefore, the stability and reliability of the devices are degraded.
In the he above method, the formation of a low k dielectric layer 12 in the process of interconnection has quite a few disadvantages. While removing the photo-resist layer 14, since the dielectric material is very similar to the photo-resist material, for example, both containing a large proportion of carbon, part of the low k dielectric layer 12 within the opening 15 is removed too. A bowing side wall 16 is thus formed within the opening 15. The bowing side wall 16 causes difficulty during the subsequent deposition process, and therefore, a poor step coverage is resulted. The conductivity for interconnects and the stability for devices are degraded. The degradation is more obvious as the dimension of and distances between devices becomes smaller and smaller.
SUMMARY OF THE INVENTION
It is therefore an object of the invention to provide a method patterning a dielectric layer. The disadvantage of easily etched by plasma containing oxygen is improved. Therefore, it is more advantageous for the fabrication of interconnects.
To achieve these objects and advantages, and in accordance with the purpose of the invention, as embodied and broadly described herein, the invention is directed towards a method of patterning a dielectric layer. On metal wiring layer formed on a provided substrate, a dielectric layer is formed. A masking layer is formed on the dielectric layer. A cap insulation layer is formed and patterned to form an opening on the masking layer, and the opening is aligned with the metal wiring layer. The masking layer and the dielectric layer are etched, so that the opening is deepened and the metal wiring layer is exposed. A conductive layer is formed over the substrate to fill the opening.
To achieve these objects and advantages, and in accordance with the purpose of the invention, another method of patterning a dielectric layer is disclosed. On a metal wiring layer formed on a provided substrate, a first dielectric layer, a first masking layer and a first cap insulation layer are formed in sequence. A first opening aligned with the metal wiring layer is formed by etching the first cap insulation layer, so that the underlying first masking layer is exposed. The exposed first masking layer is etched to expose the first dielectric layer. A second dielectric layer, a second masking layer and a second cap insulation are formed over the substrate in sequence. A second opening is formed by etching the second cap insulation, so that the second masking layer is open within the second opening. The exposed second masking layer and the underlying second dielectric layer etched, and the first dielectric is etched by using the second cap insulation layer as a mask until the metal wiring layer is exposed. A conductive layer is formed over the substrate.
It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the invention, as claimed.
BRIEF DESCRIPTION OF THE DRAWINGS
Other objects, features, and advantages of the invention will become apparent from the following detailed description of the preferred but non-limiting embodiments. The description is made with reference to the accompanying drawings in which:
FIG. 1A to FIG. 1D are cross sectional views of the conventional process for fabricating an interconnects;
FIG. 2A to FIG. 2E are cross sectional views of the process for patterning a dielectric layer in a preferred embodiment according to the invention; and
FIG. 3A to FIG. 3H are cross sectional views of the process of patterning a dielectric layer to form a dual damascence structure in another preferred embodiment according to the invention.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
In the invention, with the addition of a cap insulation layer 24, the plasma containing oxygen is blocked, and the removal of the low k dielectric layer by the plasma is prevented. In addition, three step etching process is in use in the invention, therefore, the cap insulation layer is removed completely afterwards without increasing the resistance of metal wiring layer, and the RC delay time is not increased thereby.
Referring to FIG. 2A, on a semiconductor substrate 20 having a metal wiring layer 21 formed thereon, a dielectric layer 22 is formed. The dielectric layer 22 is a low k dielectric layer, for example, an organic polymer formed by CVD or SOG with a thickness of about 5000 Å to 10000 Å. Preferably, the dielectric layer 22 is planarized, for example, by etch back or chemical-mechanical polishing (CMP). The thickness of the dielectric layer after planarization is adjustable, depending on the structure formed on the substrate 20. An insulation masking layer 23, for example, a silicon oxide layer, is formed on the dielectric layer 22 as a hard mask for the subsequent etching process. The insulation masking layer 23 is formed, for example, by CVD and using silane and oxygen, or tetra-ethyl-oxy-silicate (TEOS) as reacting gas. A cap insulation layer 24, preferably a silicon nitride layer, is formed on the insulation masking layer 22. The formation of the cap insulation layer 24 is the characteristic of the invention. With the cap insulation 24, the dielectric layer is protected from being etched by plasma containing oxygen during the subsequent process. A photo-resist layer 25 is formed and patterned on the cap insulation layer.
Referring FIG. 2B, using the photo-resist layer as a mask, the cap insulation layer 24 is etched to form an opening 26 and expose the insulation masking layer 23.
Referring to FIG. 2C, using plasma containing oxygen as a clean agent, the photo-resist resist layer 25 is removed. The dielectric layer 22 is not etched being covered and protected by the cap insulation layer 24 and the insulation masking layer 23. The thickness of the cap insulation layer 24 is adjusted appropriately, for example, 300 Å to 1000 Å, so as to be etched away completely during the subsequent process for etching the insulation masking layer 23.
Referring to FIG. 2D, using the cap insulation layer 24 as a mask, an anisotropic etching is performed to remove the insulation masking layer 23 and the dielectric layer 22 until exposing the metal wiring layer 21.
Referring to FIG. 2E, a conductive layer 27 a is formed to fill the opening 27. The conductive layer 27 a includes aluminium or other metals formed by sputtering or CVD. The conductive layer 27 a is planarized by CMP to form a plug within the opening 27.
Another embodiment using for dual damascence process according to the invention is represented with the reference of FIG. 3A to FIG. 3H as follows.
Referring to FIG. 3A, on a semiconductor 30 having a metal wiring layer 31 form thereon, a first dielectric layer 32 a is formed. The material of the first dielectric layer 32 a includes low k dielectric such as organic polymer with a thickness about 5000 Å to 10000 Å. The practical thickness of the first dielectric layer 32 a is adjustable, depending on the structure of the metal wiring layer 31. On the first dielectric layer 32 a, a first insulation masking layer 33 such as a silicon oxide layer, is formed, for example, by CVD and using silane and oxygen, or TEOS as reacting gas. A first cap insulation layer 34, preferably, a silicon nitride layer, is formed on the first insulation masking layer 33. The formation of the first cap insulation layer 34 is the characteristic of the invention. With the first cap insulation layer 34, the first dielectric layer 32 a is protected from being etched by plasma containing oxygen during the subsequent process. A photo-resist layer 35 is formed and patterned on the first cap insulation layer 34.
Referring to FIG. 3B, using the photo-resist layer 35 as a mask, an opening 36 is formed and the first insulation masking layer 33 is exposed by etching the first cap insulation layer 34. The first cap insulation layer 34 is thick enough to perform as a mask while etching the underlying first insulation masking layer 33. Therefore, the thickness of the first cap insulation layer 34 is about 300 Å to 1000 Å.
Referring to FIG. 3C, using plasma containing oxygen as a cleaning agent. Being covered by the first cap insulation layer 34 and the first insulation masking layer 33, the first dielectric layer 32 a protected from being etched by the plasma with oxygen. Using the first cap insulation layer 34 as a mask, an opening 37 is formed by aniostropically etching the first insulation masking layer 32 b, so that the first dielectric layer 32 a is exposed within the opening 37.
Referring to FIG. 3D, on the first cap insulation layer 34 and the opening 37, a second dielectric layer 32 b is formed. The second dielectric layer 32 b is, for example, an organic polymer with a thickness of about 5000 Å to 8000 Å. On the second dielectric layer 32 b, a second insulation masking layer 39 such as a silicon oxide layer formed by CVD is formed. A second cap oxide layer 40 is formed on the insulation masking layer 39. A photo-resist layer 41 is formed and patterned on the second insulation masking layer 39.
Referring to FIG. 3E, using the photo-resist layer 41 as a mask, the second cap insulation layer 40 is etched to form an opening 42, and the second insulation masking layer 39 within the opening 42 is exposed. An appropriate thickness of the second cap insulation layer 40 is about 300 Å to 1000 Å. The first dielectric layer 32 a and the second dielectric layer is assembled as a dielectric layer 32.
Referring to FIG. 3F, using the second cap insulation layer 40 as a mask, the insulation masking layer is anisotropically etched to form an opening 43, so that the second dielectric layer 32 b is exposed within the opening 43.
Referring to FIG. 3G, using anisotropic etching, the second dielectric layer 32 b and the first dielectric layer 32 a, that is, the dielectric layer 32 within the opening, until the metal wiring layer 31 is exposed.
Referring to FIG. 3H, a conductive layer 45 is formed, for example, by sputtering or CVD over the substrate 30. The conductive layer 45 includes aluminium or other metals. The conductive layer 45 is planarized to form a plug within the opening 43 for interconnection.
The advantages of the invention are:
(1) With the additional cap insulation layer to define an opening, during the process for removing the photo-resist layer, the low k dielectric layer is covered and protected by the cap insulation layer and the insulation masking layer from being etched by the plasma containing oxygen.
(2) After the formation of an opening within the cap insulation layer, the insulation masking layer is etched by using the cap insulation layer as a mask. The thickness of the cap insulation layer is adjustable, so that the cap insulation layer is etched together with the insulation masking layer. Therefore, the RC delay time is not increased by the residue of the cap insulation layer.
Other embodiment of the invention will appear to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. It is intended that the specification and examples to be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims.

Claims (13)

What is claimed is:
1. A method of patterning a dielectric layer, wherein a substrate having a metal wiring layer formed thereon is provided, comprising:
forming a first dielectric layer on the metal wiring layer;
forming a first masking layer on the first dielectric layer, and a first cap insulation layer on the first masking layer;
forming a first opening aligned with the metal wiring layer by etching the first cap insulation layer, so that the underlying first masking layer is exposed;
etching the exposed first masking layer to expose the first dielectric layer;
forming a second dielectric layer, a second masking layer and a second cap insulation over the substrate in sequence;
forming a second opening by etching the second cap insulation, so that the second masking layer is open within the second opening;
etching the exposed second masking layer and the underlying second dielectric layer, and etching the first dielectric layer by using the second cap insulation layer as a mask until the metal wiring layer is exposed; and
forming a conductive layer over the substrate.
2. The method according to claim 1, wherein the conductive layer is further polished to form a plug within the opening.
3. The method according to claim 1, wherein the conductive layer is polished by chemical-mechanical polishing.
4. The method according to claim 1, wherein the first dielectric layer includes organic polymer.
5. The method according to claim 1, wherein the first dielectric layer includes xylene plastic.
6. The method according to claim 1, wherein the first masking layer includes a silicon oxide layer.
7. The method according to claim 1, wherein the first cap insulation layer includes a silicon nitride layer.
8. The method according to claim 1, wherein the first masking layer and the first dielectric layer are etched by plasma containing oxygen.
9. The method according to claim 1, wherein the second dielectric layer includes organic polymer.
10. The method according to claim 1, wherein the second dielectric layer includes xylene plastic.
11. The method according to claim 1, wherein the second masking layer includes a silicon oxide layer.
12. The method according to claim 1, wherein the second cap insulation layer includes a silicon nitride layer.
13. The method according to claim 1, wherein the second masking layer and the second dielectric layer are etched by plasma containing oxygen.
US09/059,751 1997-12-03 1998-04-14 Method of patterning dielectric Expired - Fee Related US6191028B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/729,357 US20010000155A1 (en) 1997-12-03 2000-12-04 Method of patterning dielectric

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW86118145 1997-12-03
TW086118145A TW374946B (en) 1997-12-03 1997-12-03 Definition of structure of dielectric layer patterns and the manufacturing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/729,357 Continuation US20010000155A1 (en) 1997-12-03 2000-12-04 Method of patterning dielectric

Publications (1)

Publication Number Publication Date
US6191028B1 true US6191028B1 (en) 2001-02-20

Family

ID=21627349

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/059,751 Expired - Fee Related US6191028B1 (en) 1997-12-03 1998-04-14 Method of patterning dielectric
US09/059,691 Expired - Fee Related US5959361A (en) 1997-12-03 1998-04-14 Dielectric pattern
US09/729,357 Abandoned US20010000155A1 (en) 1997-12-03 2000-12-04 Method of patterning dielectric

Family Applications After (2)

Application Number Title Priority Date Filing Date
US09/059,691 Expired - Fee Related US5959361A (en) 1997-12-03 1998-04-14 Dielectric pattern
US09/729,357 Abandoned US20010000155A1 (en) 1997-12-03 2000-12-04 Method of patterning dielectric

Country Status (2)

Country Link
US (3) US6191028B1 (en)
TW (1) TW374946B (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010051447A1 (en) * 2000-05-16 2001-12-13 Tatsuya Usami Semiconductor device, semiconductor wafer, and methods of producing the same device and wafer
US6352918B1 (en) * 1998-11-24 2002-03-05 United Microelectronics Corp. Method of forming inter-metal interconnection
US20020132491A1 (en) * 1998-12-31 2002-09-19 John E. Lang Method of removing photoresist material with dimethyl sulfoxide
US6479380B2 (en) * 2000-05-25 2002-11-12 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US6524964B2 (en) * 2001-06-28 2003-02-25 Hynix Semiconductor Inc. Method for forming contact by using ArF lithography
US6573603B2 (en) * 2000-12-20 2003-06-03 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and method of manufacturing the same
US6743712B2 (en) * 2002-07-12 2004-06-01 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US6774032B1 (en) 2003-05-30 2004-08-10 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US6798043B2 (en) * 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
US6879046B2 (en) 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6913993B2 (en) 1998-06-30 2005-07-05 United Microelectronics Corp. Chemical-mechanical polishing method
US20070155157A1 (en) * 2006-01-04 2007-07-05 Pei-Yu Chou Structure of Metal Interconnect and Fabrication Method Thereof
US20130178057A1 (en) * 2012-01-11 2013-07-11 Globalfoundries Inc. Methods of Forming Conductive Structures Using a Dual Metal Hard Mask Technique

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2777697B1 (en) * 1998-04-16 2000-06-09 St Microelectronics Sa INTEGRATED CIRCUIT WITH STOP LAYER AND MANUFACTURING METHOD THEREOF
US6245669B1 (en) * 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
JP2004014841A (en) * 2002-06-07 2004-01-15 Fujitsu Ltd Semiconductor device and its manufacturing method
US6794282B2 (en) * 2002-11-27 2004-09-21 Infineon Technologies Ag Three layer aluminum deposition process for high aspect ratio CL contacts
US7138717B2 (en) * 2004-12-01 2006-11-21 International Business Machines Corporation HDP-based ILD capping layer
US8951907B2 (en) * 2010-12-14 2015-02-10 GlobalFoundries, Inc. Semiconductor devices having through-contacts and related fabrication methods
US9491871B2 (en) * 2013-05-07 2016-11-08 Unimicron Technology Corp. Carrier substrate
US10481025B2 (en) * 2017-01-26 2019-11-19 Rosemount Aerospace Inc. Piezoresistive sensor with spring flexures for stress isolation
RU2738596C1 (en) * 2019-11-18 2020-12-14 федеральное государственное бюджетное образовательное учреждение высшего образования "Пермский национальный исследовательский политехнический университет" Method of producing ultrafine particles of homogeneous oxide ceramic compositions consisting of core and outer shells

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5506177A (en) * 1994-02-28 1996-04-09 Nec Corporation Fabrication process for multilevel interconnections in a semiconductor device
US5578523A (en) * 1995-02-24 1996-11-26 Motorola, Inc. Method for forming inlaid interconnects in a semiconductor device
US5602060A (en) * 1993-08-31 1997-02-11 Fujitsu Limited Process for the production of semiconductor devices
US5702568A (en) * 1995-06-24 1997-12-30 Hyundai Electronics Industries Co., Ltd. Method of forming a via hole of a semiconductor device with spin-on-glass film sealed by an oxide film
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JP2875093B2 (en) * 1992-03-17 1999-03-24 三菱電機株式会社 Semiconductor device
US5486493A (en) * 1994-02-25 1996-01-23 Jeng; Shin-Puu Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators
US5625231A (en) * 1995-03-10 1997-04-29 Advanced Micro Devices, Inc. Low cost solution to high aspect ratio contact/via adhesion layer application for deep sub-half micrometer back-end-of line technology
JPH0955425A (en) * 1995-08-10 1997-02-25 Mitsubishi Electric Corp Semiconductor device having multilayer al wiring structure and its manufacturing method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5602060A (en) * 1993-08-31 1997-02-11 Fujitsu Limited Process for the production of semiconductor devices
US5506177A (en) * 1994-02-28 1996-04-09 Nec Corporation Fabrication process for multilevel interconnections in a semiconductor device
US5578523A (en) * 1995-02-24 1996-11-26 Motorola, Inc. Method for forming inlaid interconnects in a semiconductor device
US5702568A (en) * 1995-06-24 1997-12-30 Hyundai Electronics Industries Co., Ltd. Method of forming a via hole of a semiconductor device with spin-on-glass film sealed by an oxide film
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Wolf "silicon Processing for the VLSI Era" vol. 1, pp. 547-551, 555-556, 1986. *

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913993B2 (en) 1998-06-30 2005-07-05 United Microelectronics Corp. Chemical-mechanical polishing method
US8389410B2 (en) 1998-06-30 2013-03-05 United Microelectronics Corp. Chemical mechanical polishing method
US7335598B2 (en) 1998-06-30 2008-02-26 United Microelectronics Corp. Chemical-mechanical polishing method
US20050186799A1 (en) * 1998-06-30 2005-08-25 Kun-Lin Wu Chemical-mechanical polishing method
US7947603B2 (en) 1998-06-30 2011-05-24 United Microelectronics Corp. Chemical-mechanical polishing method
US20080102635A1 (en) * 1998-06-30 2008-05-01 Kun-Lin Wu Chemical-mechanical polishing method
US6352918B1 (en) * 1998-11-24 2002-03-05 United Microelectronics Corp. Method of forming inter-metal interconnection
US20020132491A1 (en) * 1998-12-31 2002-09-19 John E. Lang Method of removing photoresist material with dimethyl sulfoxide
US7816280B2 (en) 2000-05-16 2010-10-19 Nec Electronics Corporation Semiconductor device, semiconductor wafer, and methods of producing the same device and wafer
US7602048B2 (en) 2000-05-16 2009-10-13 Nec Electronics Corporation Semiconductor device and semiconductor wafer having a multi-layered insulation film
US20090137108A1 (en) * 2000-05-16 2009-05-28 Nec Electronics Corporation Semiconductor device, semiconductor wafer, and methods of producing the same device and wafer
US20010051447A1 (en) * 2000-05-16 2001-12-13 Tatsuya Usami Semiconductor device, semiconductor wafer, and methods of producing the same device and wafer
US20070207610A1 (en) * 2000-05-16 2007-09-06 Nec Electronics Corporation Semiconductor device, semiconductor wafer, and methods of producing same device and wafer
US7674721B2 (en) 2000-05-16 2010-03-09 Nec Electronics Corporation Semiconductor device, semiconductor wafer, and methods of producing same device and wafer
US7229910B2 (en) * 2000-05-16 2007-06-12 Nec Electronics Corporation Method of producing a semiconductor device having a multi-layered insulation film
US20020127807A1 (en) * 2000-05-16 2002-09-12 Tatsuya Usami Semiconductor device, semiconductor wafer, and methods of producing the same device and wafer
US6599830B2 (en) 2000-05-25 2003-07-29 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US6479380B2 (en) * 2000-05-25 2002-11-12 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US6573603B2 (en) * 2000-12-20 2003-06-03 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and method of manufacturing the same
US6524964B2 (en) * 2001-06-28 2003-02-25 Hynix Semiconductor Inc. Method for forming contact by using ArF lithography
US6879046B2 (en) 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6798043B2 (en) * 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
US6743712B2 (en) * 2002-07-12 2004-06-01 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US6774032B1 (en) 2003-05-30 2004-08-10 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US7524742B2 (en) 2006-01-04 2009-04-28 United Microelectronics Corp. Structure of metal interconnect and fabrication method thereof
US7365009B2 (en) * 2006-01-04 2008-04-29 United Microelectronics Corp. Structure of metal interconnect and fabrication method thereof
US20070210454A1 (en) * 2006-01-04 2007-09-13 Pei-Yu Chou Structure of Metal Interconnect and Fabrication Method Thereof
US20070155157A1 (en) * 2006-01-04 2007-07-05 Pei-Yu Chou Structure of Metal Interconnect and Fabrication Method Thereof
US20130178057A1 (en) * 2012-01-11 2013-07-11 Globalfoundries Inc. Methods of Forming Conductive Structures Using a Dual Metal Hard Mask Technique
US8859418B2 (en) * 2012-01-11 2014-10-14 Globalfoundries Inc. Methods of forming conductive structures using a dual metal hard mask technique

Also Published As

Publication number Publication date
TW374946B (en) 1999-11-21
US20010000155A1 (en) 2001-04-05
US5959361A (en) 1999-09-28

Similar Documents

Publication Publication Date Title
US6191028B1 (en) Method of patterning dielectric
US6696222B2 (en) Dual damascene process using metal hard mask
US6972259B2 (en) Method for forming openings in low dielectric constant material layer
US6291334B1 (en) Etch stop layer for dual damascene process
US6331479B1 (en) Method to prevent degradation of low dielectric constant material in copper damascene interconnects
US7192863B2 (en) Method of eliminating etch ridges in a dual damascene process
US5702982A (en) Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits
USRE41842E1 (en) Methods of forming electrical interconnects on integrated circuit substrates using selective slurries
US6720249B1 (en) Protective hardmask for producing interconnect structures
US6140225A (en) Method of manufacturing semiconductor device having multilayer wiring
US6268283B1 (en) Method for forming dual damascene structure
US6235633B1 (en) Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
EP1302981A2 (en) Method of manufacturing semiconductor device having silicon carbide film
US6316351B1 (en) Inter-metal dielectric film composition for dual damascene process
US5990015A (en) Dual damascence process
US6617232B2 (en) Method of forming wiring using a dual damascene process
US20020155693A1 (en) Method to form self-aligned anti-via interconnects
JP2000340649A (en) Improvement in yield in manufacture of dual damascene by filling with oxide
US20070001306A1 (en) Dual damascene interconnect in hybrid dielectric
JP2003179136A (en) Mask layer and interconnection structure for manufacturing dual damascene semiconductor
US6774037B2 (en) Method integrating polymeric interlayer dielectric in integrated circuits
US7172964B2 (en) Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US20040137709A1 (en) Metal barrier cap fabrication by polymer lift-off
US6277705B1 (en) Method for fabricating an air-gap with a hard mask
US6876081B2 (en) Composite dielectric layers

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HUANG, YIMIN;YEW, TRI-RUNG;REEL/FRAME:009108/0275

Effective date: 19980202

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20130220