TW522519B - Method of manufacturing semiconductor device having silicon carbide film - Google Patents

Method of manufacturing semiconductor device having silicon carbide film Download PDF

Info

Publication number
TW522519B
TW522519B TW091101468A TW91101468A TW522519B TW 522519 B TW522519 B TW 522519B TW 091101468 A TW091101468 A TW 091101468A TW 91101468 A TW91101468 A TW 91101468A TW 522519 B TW522519 B TW 522519B
Authority
TW
Taiwan
Prior art keywords
film
substrate
etching
photoresist
opening
Prior art date
Application number
TW091101468A
Other languages
English (en)
Inventor
Daisuke Komada
Katsumi Kakamu
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Application granted granted Critical
Publication of TW522519B publication Critical patent/TW522519B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Description

522519 五、發明説明 請案之交亙耒# 本申請案根據申請曰為2〇〇〗年〗0月〗〇號之曰本專利申 口月案第2001-312883號,其所有内容被合併於此以作為參 考0 1明背景 A) 發明領域 本發明關於一種半導體元件製造方法,更特別相關於 包括使用經氫化之碳化矽膜作為硬幕罩或蝕刻擋止膜之蝕 刻程序的半導體元件製造方法。 B) 相關技藝說明 傳統形成佈線圖案之方法將會被簡短地說明。在半導 體基材上之間層絕緣膜上,一鋁(A1)膜或鎢(w)膜被沉積並 七成圖案以形成佈線圖案。在佈線圖案之側壁上的沉積物 藉由使用鹼性物學物質而被移除。其後,覆蓋佈線圖案之 間層絕緣層藉由電漿增進化學蒸氣沉積而被沉積。 近來的半導體積體電路元件之高度整合積集度使佈線 圖案更精細。較精細的佈線圖案大大地增加在佈線圖案之 間的寄生電容,使得對於半導體積體電路的操作速度有某 一程度的影響。為了減少減少在佈線圖案之間的寄生電 容,形成具有低介電常數的技術以及形成由具有低電阻的 銅(Cu)所製成之佈線圖案之技術近時被利用。熟知的具有 低介電常數之間層絕緣膜材料包括氟矽酸鹽玻璃(FSG)、氮 倍半環氧乙烷(HSQ)、聚(芳香基醚)(有所熟知為聯合信號 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公楚)
522519 A7 五、發明說明(2 / 股份有限公司的FLARE,或陶氏化學公司的SiLK),以及 相似物。 作為其中一種間層絕緣膜,一氮化矽(SiN)膜被用來作 為用以避免Cu擴散的阻擋膜之蝕刻擋止膜或一頂蓋膜。 具有較si〇2更高的介電常數,使得其妨礙間層絕緣膜的 低介電常數。作為SiN的替換物,氮化矽(Sic)已經引起注 意。 若SiC被作為SiN的替換物時,雖然間層絕緣膜可以具 有低"電g數,一 SiC膜較SiN膜更難於姓刻。一 Sic膜, 特別是含有Si-H鍵或是Si-C鍵之Sic膜更難於被蝕刻,因為 在姓刻程序期間被放出的氫會限制餘刻。 若佈線圖案欲藉由使用有機絕緣材料之間層膜的金屬 鑲嵌方法被形成,SiN被使用作為硬幕罩的材料。若sm被 以SiC取代,在硬幕罩下方之有機絕緣膜被蝕刻之後則難 於移除硬幕罩。 董之概要說明 本發明之目的在於提供一種使用能夠輕易地移除被由
SiC製成之蝕刻擋止膜或硬幕罩之蝕刻方法來製造半導體 元件之方法。 根據本發明之一層面,提供有一種製造半導體元件之 方=,係包含下列步驟:在一半導體基材上形成一第一膜, 。亥第膜係由具有與碳化矽不同之蝕刻抗阻性的材料所製 成,在第一膜上形成一第二膜,該第二膜係由經氫化之碳 (請先閲讀背面之注意事項再填窝本頁) .裝· .訂· :線·
五、發明説明(3 ) 化石夕所製成;在第二膜上形成-具有開口之光阻層;藉由 使用光阻幕罩作為蝕刻幕罩並使用至少添加有SF6與 之其中-者的石炭氟氣體之混合氣體來乾式㈣第二膜;以 及藉由使用第二膜作為一幕罩來蝕刻第一膜。 本發明另一目的在於提供一種製造半導體元件之方 法,係包含下列步驟:製備一基材,係具有一暴露在基材 之:絕緣表面的部分區域上之傳導層;在基材表面上形成 一第一膜,該第一膜係由經氫化之碳化矽所製成;在第一 膜上形成一由絕緣材料所製成之第二膜;在第二膜上形成 -具有開口之光阻膜;藉由使用光阻幕罩作為—姓刻幕罩 來姓刻第二膜,以形成一切口並將在切口之底部上的第一 膜之部分表面區域暴露出來;灰化並移除光阻膜;藉由使 用至少添加有SF6_F3之其中一者的碳氣氣體之混合氣 體來乾式姓刻暴露在切口底部上之第一膜,以將基材之傳 導區域暴露出來;以及遮蓋在切口中的傳導構件。 本發明之另一目的在於提供一種製造半導體元件之方 法,,包含下列步驟:製備一基材,係具有一暴露在基材 之-絕緣表面的部分區域上之傳導構件;在基材之表面上 形成-第-膜,該第一膜係由經氯化之碳化砂所製成;在 第一膜上形成一由絕緣材料所製成之第二膜,該絕緣材料 係具有與碳化石夕不同之姓刻抗阻性;在第二膜上形成一第 三膜’該第三膜係由經氫化之碳化㈣製成;纟第三膜上 形成-具有開口之光阻膜,由沿著平行於與基材表面垂直 之線段觀看,該開口係與傳導構件之部分區域層疊;藉由 五、發明説明(4 ) 使用光阻幕罩作為一蝕刻幕罩並使用至少添加有與 NF3之其中一者的碳氟氣體之混合氣體來乾式蝕刻第三 膜;藉由使用光阻幕罩作為一蝕刻幕罩並在第二骐的蝕刻 率較第一膜的餘刻率更快的條件下蝕刻第二膜,以形成一 =口並將在切口底部上之第一膜的部分表面區域暴露出 來人化並移除光阻膜;以及藉由使用至少添加有§ p^與 NF3之其中一者的碳氟氣體之混合氣體來乾式蝕刻暴露在 切口底部上的第一膜,以將基材之傳導構件暴露出來。 本發明之又一目的在於提供一種製造半導體元件之方 法係包合下列步驟··製備一基材,係具有一被暴露在基 絕緣表面的部分區域上之佈線;在基材表面上形成 第膜,δ玄第一膜係由經氫化之碳化石夕所製成;在第一 膜上形成-由絕緣材料所製成之第二膜,該絕緣材料係且 有與碳切不同之㈣抗阻性,·在第二膜上形成-第三 膜,該第三膜係由經氫化之碳化石夕所製成:在第三膜上形 成一具有第-開口之第一光阻膜,由沿著平行於與基材表 該第一開,傳導構件的部分部分 ^層兄’错由使用光阻幕軍作為一敍刻幕罩並使用至少 Ι=Γ6&ΝΡ3之其中'"者的碳氣氣體之混合氣體來乾 二光:Γ以將第二膜的部分表面暴露出來;移除第 口 之第三膜的表面上形成-具有第二開 且膜,該第二開口係被包含在第—開口之—區 或中並部分地㈣線層藉 _幕罩來钱刻第二膜至少到第作 』弟一膜的中間深度;移除第 五、發明説明(5 ) 二光阻膜;藉由使用經部分蝕刻之第三膜作為一幕罩來蝕 刻第三膜,以形成一到達在第二開口被形成之區域中的第 一膜之通孔,並在第一開口被形成且第二開口未被形成之 區域中形成一佈線凹槽至第二膜的中間深度;藉由使用至 少添加有SF6與NF3之其中一者的碳氟氣體之混合氣體來 乾式I虫刻暴露在通孔底部上的第一膜,以將佈線暴露出 來’以及以傳導構件來遮蓋通孔與佈線凹槽的内部。 若添加有SF6或NF3的碳氟氣體之混合氣體被使用作 為蝕刻氣體,一由經氫化之碳化矽所製成的膜可以被選擇 地餘刻。 根據本發明之另一層面,本發明提供有一種製造半導 體元件之方法,係包含下列步驟··藉由使用四甲基矽烷與 二氧化碳作為來源氣體之化學蒸氣沉積,並藉由將四甲基 矽烷的流率與二氧化碳的流率之比例設定成從〇·2至〇·6的 範圍,在一半導體基材的表面上形成碳化石夕之第一膜;在 第一膜上形成一第二膜,該第二膜係由具有與碳化矽不同 的蝕刻抗阻性之絕緣材料所製成;形成一具有開口之光阻 膜;以及藉由使用光阻膜作為一幕罩,在第二膜的蝕刻率 較第一膜的蝕刻率更快的條件下蝕刻第二膜,以將第一膜 部分地暴露出來。 在上述條件下被沉積之碳化矽在以si〇為主的蝕刻條 件下具有慢蝕刻率,使其可以被使用作為一蝕刻擋止層。 如上所述,取代傳統使用之SiN,具有低介電長述的 SiC可以被使用作為硬幕罩或蝕刻擋止膜的材料。在佈線 522519 _ 發明説明( 圖案之間的寄生電容可以姑:士/丨、、, 』了王电合』以破減少,並且半導體積體電路元 件的操作速度可以被改善。 _囷式之簡短說明 第1A與1B圖為例示根據本發明第一實施例之半導體 兀件製造方法之基材的橫戴面圖,而第⑴圖為例示比較例 示之基材的橫截面圖; 第2圖為顯示本發明之實施例方法所使用的RIE系統 之不意圖; 第3 A至3D圖為例示根據本發明第二實施例之半導體 元件製造方法之基材的橫截面圖,而第3E圖為例示比較例 示之基材的橫截面圖; 第4A至4E圖為例示根據本發明第三實施例之半導體 元件製造方法之基材的橫戴面圖,而第朴圖為例示比較例 示之基材的橫戴面圖; 第5 A至5 Η為例示根據本發明第四實施例之半導體元 件製造方法之基材的橫戴面圖; 第6圖為顯示傳統SiC膜之FT-IR的結果圖; 第7圖為顯示被以四甲基矽烷與C〇2之間不同的流率 所形成之SiC膜的FT-IR結果圖; 第8圖為顯示在甲基矽烷與〇〇2之間的流率以及SiC膜 達蝕刻率之間的關係圖; 第9圖顯示在曱基矽烷與C02間的流率以及應力轉移 之間的關係圖; 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) -訂· 線丨 9 522519 A7 ________B7 五、發明説明(7 ) 第10 A至1 ON圖為例示使用第一至第五實施例方法之 半導體製造方法的橫截面圖。 教佳實施例之詳細說明 參考第1A至1C圖,根據本發明第一實施例之半導體元 件製造方法將會被說明。 如第1A圖所示,一銅佈線被埋置在一被形成在半導體 基材上的間層絕緣膜1之表面層中。銅佈線2被一金屬鑲嵌 方法形成。一厚度為50 nm的SiC蝕刻擋止膜被形成在間層 絕緣膜1與銅佈線2上。蝕刻擋止膜3可以藉由使用四曱基矽 烧(Si(CH3)4)、氨(NH3)及氮(NO之混合氣體的CVD來被形 成。所形成之SiC膜含有Si-H鍵與C-H鍵。 一由陶氏化學公司所製造並具有500 nm厚度的SiLK 所‘成之間層絕緣膜4被形成在姓刻擋止膜3上。具有1 〇〇 nm厚度的SiC硬幕罩被形成在間層絕緣膜4上。硬幕罩5藉 由相似於形成蝕刻擋止膜3的方法而被形成。一光阻膜被塗 佈在硬幕罩5上,以沿著平行於與基材表面正交之線段觀 看’具有一開口 6A之光阻膜係部分地層疊佈線2。 如第1B圖所示,藉由使用光阻膜6作為一幕罩,硬幕 罩被乾式蝕刻來形成一通過硬幕罩5之開口 5a。 第2圖為顯示欲被用來蝕刻硬幕罩5之反應性離子蝕刻 (RIE)系統之結構的示意圖。在腔室1 Q〇中,一下電極1 〇 ^ 與一上電極102大致被平行地設置。蝕刻氣體經由氣體入口 埠109而被導入腔室100中,而未反應的蝕刻氣體與反應產 本紙張尺度適用中國國家標準(CNS) Μ規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) .訂丨 -10 . 522519 A7 _____B7_ 五、發明説明(8 ) 物從一空氣出口埠103被排出。一電源106將27MHz的高頻 電壓經由一阻抗匹配電路107施加至上電極102上。一偏壓 電源1〇4將800kHz的高頻電壓經由一阻抗匹配電路105施 加至下電極101上。欲被處理之基材110被置放在下電極101 上。 接下來,硬幕罩5的蝕刻條件將會被說明。所使用的蝕 刻氣體為分別具有20 seem、 10 seem、200 seem 及 5 seem 白勺 流率之CHF3、NF3、Ar及02的混合氣體。在腔室100中的壓 力|6.65 Pa(50 mTorr),一被供應至上電極102之來源電力 為2000 W,被供應至下電極1〇1之偏壓電力為1400 W,並 且下電極101的溫度為20°C。 在該等蝕刻條件下,開口 5A能夠透過硬幕罩5而被形 成。在開口 5A被形成之後,藉由使用光阻膜6與硬幕罩5作 為一幕罩,間層絕緣膜4被蝕刻,且接著蝕刻擋止膜3被蝕 刻而形成一通孔。此蝕刻被進行到失去光阻膜6。 第1C圖為例示比較例示之基材的橫截面圖,其中硬幕 罩5藉由使用未包含NF3的蝕刻氣體而被蝕刻。所使用的蝕 刻氣體為分別具有20 seem、30 seem、200 seem及8 seem的 流率之CF4、CHF3、Ar及02的混合氣體。在腔室loo中的壓 力為5.3 Pa(40 mTorr),一被供應至上電極1〇2的來源電力 為2500 W,被供應至下電極ιοί之偏壓電力為15〇〇 w,並 且下電極101的溫度為20°C。 在該等餘刻條件下,SiC膜的蝕刻率與光阻膜的姓刻率 之比(餘刻選擇比)小。所以,光阻幕罩6在開口透過硬幕罩 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱〉 ................—裝...........-......tr..................線‘ (請先閲讀背面之注意事項再填寫本頁) 11 五、發明説明(9 ) 5被形成之前被蝕刻。開口無法透過硬幕罩5被形成。 一般地,CF4促進蝕刻並且CHF3提高欲被蝕刻之膜與 光阻膜的#、刻選擇比。可以被了解的^,雖然# SiN膜被 蝕刻時所使用的蝕刻氣體可以足夠的蝕刻選擇比,但是當 s有氫的SiC膜被蝕刻時所使用的蝕刻氣體則無法提供充 分的蝕刻選擇比。 如上所述,藉由添加NF3氣體至蝕刻氣體中,一充分 的蝕刻選擇比可以被實現並且含有氫的Sic膜可以被蝕 刻。被添加至蝕刻氣體的Ar被用來作為離子輔助劑,並且 〇2氣體具有改善姓刻清除率的功能。 ,接下來,參考第3 A至3 D圖’根據本發明第二實施例之 半導體元件製造方法將會被說明。 如第3A圖所示,一Cu佈線12被埋置在一被形成在間層 絕緣膜11的表面層中的凹槽,該間層絕緣膜係被形成在一 半導體基材上。銅佈線12可以藉由金屬鑲嵌方法而被形 成。一厚度為50 nm的SiC蝕刻擋止膜被形成在間層絕緣膜 11與銅佈線12上。蝕刻擋止膜π藉由相似於形成第〗八與a 圖所示之第一實施例的蝕刻擋止膜3之方法而被形成。 在蝕刻擋止膜13上,一具有1〇0〇 nm厚度的以〇2間層絕 緣14藉由電漿增進化學蒸氣沉積被形成。在間層絕緣膜μ 上,具有5〇nm厚度的SiN抗反射膜15藉由電漿增進化學蒸 氣沉積而被形成。一光阻膜16被塗佈在抗反射膜15上,以 沿著平行於與基材表面垂直之線段觀看,該光阻膜係具有 一部分覆疊佈線12之開口 16A。 522519 A7
五、發明説明(10 ) (請先閲讀背面之注意事項再填寫本頁) 如第3B圖所示,藉由使用光阻幕罩16作為一幕罩,抗 反射膜15藉由使用例如CHF3與〇2的混合氣體而被乾式蝕 刻。間層絕緣膜14藉由使用第2圖所示之RIE系統來被蝕 刻。例如,所使用的蝕刻氣體為C4F8、C5Fs、Ar、〇〇與〇2 的混合氣體。在此蝕刻條件下,因為間層絕緣膜14的蝕刻 率與敍刻擋止膜13的姓刻率之比(餘刻選擇比)高,當時刻 擔止膜13被暴露出來時蝕刻幾乎可以被停止。所以,一將 在其底部上之姓刻擋止膜13的部分表面暴露出來之通孔 14Α可以被形成。 如第3C圖所示,一光阻膜16被灰化並被移除。在此情 況下’因為佈線12的表面被以蝕刻擋止膜13覆蓋,所以佈 線12的表面可以避免被氧化。 -訂丨 :線丨 如第3圖所示,在通孔14Α的底部上被暴露出來之蝕刻 擋止膜13藉由使用如第2圖所示之rie系統而被乾式蝕 刻。所使用的蝕刻氣體為分別具有30 sccm、1〇 sccm、2〇〇 seem及8 seem的流率之CHF3、NF3、Ar及〇2的混合氣體。 在腔室100中的壓力為6.65 Pa( 50 m Tor r),一被供應至上電 極102的來源電力為2000 W,被供應至下電極ιοί之偏壓電 力為1500 W,並且下電極101的溫度為2〇°C。 所以,佈線12的部分表面區域在通孔14A的底部上被 暴露出來。在上述之蝕刻條件下,被形成在間層絕緣膜】4 之表面上的SiN抗反射膜15亦被蝕刻,並且間層絕緣膜j 4 的上表面被暴露出來。 相似於第一實施例,在第二實施例中,因為被添加有 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 13 522519 A7 __B7_ 五、發明説明(11 ) NF3的CHF3之混合氣體被用來蝕刻,在通孔14A之底部上 被暴露出來的蝕刻擋止膜13幾乎可被確實地移除。 第3E圖為例示比較例示之基材的橫截面圖,其中蝕刻 藉由使用未含有NF3之氣體而被進行。所使用的蝕刻氣體 為分別具有3 0 seem、200 seem及 8 seem的流率之 CHF3、Ar 及〇2的混合氣體。在腔室100中的壓力為6·65 Pa(50 mToir),一被供應至上電極102的來源電力為2000 w,被 供應至下電極101之偏壓電力為1500 W,並且下電極ιοί的 溫度為20°C。 在該等餘刻條件下,餘刻擋止層13與間層絕緣膜14的 蝕刻選擇比並不充足。所以,難於確實地移除在通孔丨4 A 之底部上被暴露出來的蝕刻擋止膜13,並且在通孔14A附 近的間層絕緣膜14之上部區域被蝕刻。 在第二實施例中,藉由將NF3添加至碳氟氣體中,由 含有氫且在通孔14A之底部上被暴露出來的siC所製成之 I虫刻擋止膜13幾乎可以被確實地移除,並且底層佈線可以 被暴露出來。 接下來,參考第4A至4E圖,根據本發明第三實施例之 半導體元件製造方法將會被說明。在第一與第二實施例 中’一通孔通過在銅佈線上之間層絕緣膜而被形成。在第 三實施例中,一用以設置連接墊之開口被形成。 如第4A圖所示,一銅佈線22被埋置在被形成在間層絕 緣膜21之表面層中的凹槽中,該間層絕緣膜係被形成在半 導體基材上。在銅佈線22與間層絕緣膜21上,一具有5〇 nm 本紙張尺度適用中國國家標準(哪)A4規格(210><297公楚) (請先閲讀背面之注意事項再填寫本頁)
-14 - 五、發明説明(12 ) 厚度的SiC#刻擋止膜23、一具有400 nm厚度的Si〇2保護膜 24、以及一具有3〇〇 nm厚度的siC覆蓋膜25被接續地形 成。姓刻擋止膜23與覆蓋膜25藉由相似於形成第ία圖所示 之第一實施例的蝕刻擋止膜3之電漿增進化學蒸氣沉積而 被形成。保護膜24藉由相似於形成第3A圖所示之第二實施 例的間層絕緣膜14之電漿增進化學蒸氣沉積來被形成。 具有開口 26A之光阻膜26被塗佈在覆蓋膜25上。由沿 著平行於與基材表面垂直之線段觀看,開口 26a被包括在 佈線22之區塊中。 如第4B圖所示,藉由使用光阻膜26作為一幕罩,覆蓋 膜25被蝕刻以形成一切口 27。此蝕刻在與用以蝕刻以第3D 圖做說明之第二實施例的蝕刻擋止膜13相同的蝕刻條件下 而被進行。切口27在深度方向上到達保護膜24的中間。 如第4C圖所示,保護膜24進一步被蝕刻而將在切口 27 之底部上的蝕刻擋止膜23暴露出來。保護膜24在與用以蝕 刻第3B圖所示之第二實施例的間層絕緣膜14相同的蝕刻 條件下被蝕刻。 如第4D圖所示,留耷覆蓋膜25上之光阻膜26被灰化並 被移除。 如第4E圖所示,在切口27之底部上被暴露出來的蝕刻 擋止膜23被蝕刻。蝕刻在與蝕刻被以第3D圖做說明之第二 實施例的蝕刻擋止膜13相同的蝕刻條件下被進行。所以, 銅佈線22在切口 27的底部上被暴露出來。一A1膜被形成來 覆盍覆蓋膜25的表面與切口 27之内部表面,且接著被形成 Α7 ------Β7 —_ 五、發明説明(U ) 圖案而形成連接墊28。 此外,在第三實施例中,供第4B圖所示之覆蓋膜用的 蝕刻程序藉由使用CHF3與NF3之混合氣體而被使用。因 此,可能通過經氫化之SiC所製成的覆蓋膜25來形成具有 良好再現性之切口 27。藉由使用未添加有NF3的碳氟氣體 之蝕刻無法實現覆蓋膜25對光阻膜26充分高的蝕刻選擇 比。所以,如第4F圖所示,光阻膜26變得更薄並且切口 27 無法通過覆蓋膜25而被形成。 在上述第一至第三實施例中,添加有^匕之cf3的蝕刻 氣體被使用。取代CHF3,由一般公式^η/ζ(χ、>^ζ為滿 足x-1,yg〇且之整數)所表示的碳氟氣體可以被使 用。取代NF3,具有與NF3本質相似的SF6亦可以被使用。 接下來,參考第5A至5H圖,根據第四實施例之半導體 元件方法將會被說明。 如第5A圖所示,一間層絕緣膜30被形成在一半導體基 材上。在間層絕緣膜30上,具有5〇〇 nm厚度的FSG佈線層 絕緣膜3 1被形成。例如,佈線層絕緣膜3 1可以被藉由使用 SiHc SiFc NW及N2作為來源氣體之電漿增進化學蒸氣沉 積形成。一佈線凹槽31A通過佈線層絕緣膜31而被形成。 鍅刻佈線層絕緣膜3 1可以藉由使用、C5F8、Ar、CO及 〇2之化合氣體的RIE進彳于。SiN或相似物的飯刻擒止膜會被 插置在間層絕緣膜30與佈線層絕緣膜3 1之間,以控制佈線 凹槽31A的深度。 如第5B圖所示,具有25 nm厚度的TaN遮蔽金屬層32 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) * 1 f\ . (請先閲讀背面之注意事項再填寫本頁)
522519 A7 _____B7_ 五、發明説明(14 ) 藉由濺鍍形成,該遮蔽金屬層係覆蓋佈線層絕緣膜3 1的表 面以及佈線凹槽32A的内部表面。一厚度為200 nm的晶粒 銅層藉由濺鍍而被形成在遮蔽金屬層32的表面上。在晶粒 銅層上,一厚度為1300 nm的銅膜3 3L被電鍍形成。銅膜33L 完全地遮蓋佈線凹槽31A的内部空間。 如第5C圖所示,化學機械拋光(CMP)被進行以移除除 了在佈線凹槽31A的内側以外不需要的遮蔽金屬層32與銅 膜33L。此CMP在會發生變成中凹形而使銅佈線33的上部 表面下壓成較佈線層絕緣膜3 1的上部表面更低的條件下被 進行。 如第5D圖所示,TaN遮蔽金屬層34藉由濺鍍而被形 成’遮蔽金屬層34係覆蓋銅佈線33與佈線層絕緣膜31的表 面。遮蔽金屬層34的厚度被設定,使得因為變成中凹形所 形成的銅佈線33之下壓與載體金屬層34—起被遮蓋。 如第5E圖所示,一第二CMP被進行以形成除了在佈線 凹槽3 1A之外不需要的遮蔽金屬層3 4。所以,銅佈線3 3被 形成在佈線凹槽3 1A的内側,銅佈線之側壁、上部與底部 表面係被以金屬遮蔽層32與34覆蓋。 取代進行第二CMP,回蝕會被進行。第一CMP僅會移 除第5B圖所示之銅膜33L,將遮蔽金屬層32留在佈線層絕 緣膜31上,並且第二CMP將遮蔽金屬層32與第5D圖所示之 遮蔽金屬層34—起移除。 如第5F圖所示,具有50 nm厚度的SiC蝕刻擋止膜41、 一FSG間層絕緣膜42、以及具有50 nm厚度的SiN抗反射膜 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) ........................裝..................ΤΓ..................線. (請先閲讀背面之注意事項再填寫本頁) 17 522519 A7
五、發明説明(15 ) 43被接續地形成在佈線層絕緣膜31與銅佈線^上。餘刻撞 止膜41藉由相似於形成第1A圖所示之第一實施例的蝕= 擋止膜3之方法而被形成。間層絕緣膜42藉由與形成底層佈 線絕緣膜31相似的方法而被形成。抗反射膜们藉由與形成 第3A圖所示之第二實施例之抗反射膜相似的方法而被形 成。 如第5G圖所示,一光阻膜44在抗反射膜43上被形成。 一對應於通孔之開口 44A通過光阻膜44而形成。由平行於 與基材表面垂直之線對觀看,開口44A被定置在銅佈線^ 之部分表面區域中。藉由使用光阻幕罩44做威一蝕刻幕 罩’抗反射膜43與間層絕緣膜42在深度方向上被蝕刻至間 層絕緣膜42的中間,藉此形成一通孔45。光阻膜料其後被 移除。 接下來,一光阻膜47被形成在抗反射膜43的表面上。 一對應佈線凹槽之開口47A通過光阻膜47而被形成。一開 口 47A被疋置成登置在通孔45上。藉由使用光阻膜a作為 一幕罩’抗反射膜43與間層絕緣膜42被蝕刻。所以,一對 應開口47A之佈線凹槽46被形成,並且通孔45進一步地被 姓刻’以將在通孔45之底部上的蝕刻擋止膜41之部分表面 區域暴露出來。 流 (請先閲讀背面之注意事項再填寫本頁) •、可| 如第5H圖所示,在通孔45之底部上被暴露出來的蝕刻 擔止膜41被乾式蝕刻,以將底層遮蔽金屬層34暴露出來。 供姓刻擋止膜41用的蝕刻條件將會被說明。所使用的蝕刻 氣體為分別具有30 seem、10 seem、200 seem及8 seem的 本紙張尺度_巾關家鮮(CNS) A4規格(21GX297公釐〉 18 522519 A7 __B7___ 五、發明説明(16 ) 率之CHF3、SF6、ΑΓ及02的混合氣體。在腔室100中的壓力 為6.65 Pa(50 mTorr),一被供應至上電極102之來源電力為 2000 W,一被供應至下電極101之偏壓電力為1500 W,並 且下電極101的溫度為20°C。 在第四實施例中,因為添加有SF62 CHF3的混合氣體 被使用,在通孔45之底部上的蝕刻擋止膜41幾乎可以被確 貫地姓刻。若銅被暴露在經姓刻表面上時,較佳的是將較 SF0更多的NF3添加至碳氟氣體以避免銅的侵蝕。在第四實 施例中,因為銅佈線33的上表面被以TaN遮蔽金屬層34覆 蓋’所以SF6可以被使用。作為遮蔽金屬層的材料,丁3、 Ti或TiN可以被使用來取代TaN。 在第一至第四實施例中,一含有氫之SiC膜藉由使用被 添加有SF0或NF3的碳氟氣體之混合氣體來被蝕刻。sF6與 NF3氣體兩者皆可被添加至碳氟氣體中。為了確保添加sF6 或NF3的作用,較佳的是將sf0或NF3的流率對碳氟氣體的 流率之比設定成一從〇 · 1至〇 · 5的範圍或是更低。 在第一至第四實施例中,雖然CHF3被使用作為碳氟氣 體’由一般公SCxHyFz(x、乂及2為滿足χ -,g 〇且i 之整數)所表示的氣體可以被使用。此類氣體的例示為 CF4、CH2F2、C4F8、C5F8、C4F6及相似者。 當含有氫,特別是20原子%之氫的Sic膜被蝕刻時,將 NF3或SF0添加至蝕刻氣體之高度效應可以被得到。當义匸 膜被使用作為硬幕罩或是蝕刻擋止膜時,較佳將氫含量設 定成50原子%或更少。 (請先閱讀背面之注意事項再填窝本頁) •裝· .訂· :線丨 19 522519 A7 ___B7 五、發明説明(17 ) 在上述實施例中,作為間層絕緣膜的材料,SiLK(陶氏 化學公司)、Si〇2或FSG被使用。其他絕緣材料亦可以被使 用’其係具有與SiC不同的姓刻抵抗性。例如,間層絕緣 膜可以是一由磷矽玻璃(PSG)所製成之膜、一硼磷矽玻璃 (BPSG)之膜、一氫倍半環氧乙烷(HSQ)之膜、一四乙基原 矽酸鹽(TEOS)的沉積膜、一由旋塗式玻璃法所製成之膜、 一含碳的氧化矽(SiOC)之膜、一含矽的發泡多孔膜、一有 機材料的絕緣膜或相似物。有機絕緣膜的材料例示為多(芳 香基醚),亦即聯合信號股份有限公司的FLARE。 在上述實施例中,雖然平行板RIE系統被用來乾式触 刻,其他的蝕刻系統亦可以被使用,諸如電子旋轉加速共 振電漿(ECR電漿)餘刻系統、一電感耦合電漿(ICp)钱刻系 統、以及螺旋波電聚触刻系統。 此外在上述實施例中,Si(CH3)4、NH3&N2的混合氣體 被使用作為用以藉由電聚增進化學蒸氣沉積法來形成s ^匸 膜的來源氣體。其他氣體亦可以被使用。例如,,、 NH3及Ne的混合氣體可以被使用。由該等來源氣體所製成 的SiC膜以應用材料公司之BL〇k的商品名稱為人所熟知。 接下來,參考第6至9圖,根據第五實施例之半導體製 造方法將會被說明。在第一至第四實施例中,半導體元件 製造方法的特徵在於蝕刻含有氫的Sic膜之程序。第五實 施例的特徵在於形成SiC膜的方法。 第3B圖所示之第二實施例的sic蝕刻擋止膜丨3具有在 通孔14A通過上部間層絕緣膜14被形成時作為一蝕刻擋止
20 522519 五、發明説明(18 膜的功能。所以,在供間層絕緣膜14用的蝕刻條件下,钱 刻擋止膜13的蝕刻率必須充分低於間層絕緣膜14的蝕刻 率。 si〇2膜對一作為傳統的蝕刻擋止膜之SiN膜的蝕刻選 擇比約為9.5。所熟知的是,Si〇2膜對SiC膜的蝕刻選擇比 降低至約為7。一蝕刻選擇比,特別是當在通孔底部上之蝕 刻擔止膜被使用時的蝕刻選擇比會顯著地降低。FSG膜對 於在通孔底部上的SiN膜之蝕刻選擇比約為28 ,而FSG對於 在通孔底部上的SiC之蝕刻選擇比約為17。當蝕刻欲在通 孔底部被中止時的蝕刻選擇比大量的減少會被歸因為在通 孔底部的蝕刻被化學反應支配更勝於被濺鍍支配。 第6圖為顯示在Si〇2或FSG的蝕刻條件下具有相對低 的姓刻率之傅立列轉換紅外線(FT_IR)光譜的結果圖。橫座 標表示單位為cm·1的波數,而縱座標表示吸收率。可以見 到不僅只有因為Si-C鍵所造成的高峰出現,並有因為 Si-OCH鍵所造成的高峰出現。因為Si-〇cH鍵所造成的高峰 較由si-c鍵所造成的高峰更加急劇。可以被認為因為Si-C 鍵含有更多的Si-OCH鍵,所以在Si〇蝕刻條件下的SiC膜之 蝕刻率變得更快。 第7圖為顯示5個在不同膜形成條件下所形成的Sic膜 之FT-IR光譜的結果圖。Si-C膜藉由使用四曱基矽烷與c〇2 作為來源氣體來被形成。附加在第7圖所示之曲線上的數值 表示四曱基矽烷之流率對C02流率之比。 隨著流率比變大(隨著四甲基矽烷的流率比相對於 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1 (請先閲讀背面之注意事項再填寫本頁) _裝丨 、一^丨 :線丨 21 522519 A7 _____B7_ 五、發明説明(19 ) C〇2而變大),由於Si-C鍵所造成的高峰變高。隨著流率比 變小’由於S i - 0 C Η鍵所造成的南蜂會變大。可以知道的 是,更多的氧與氫被吸收在各SiC膜中。 第8圖顯示在SiC膜被形成時四曱基矽烧對c〇2之流率 比與各SiC膜的姓刻率之間的關係圖。橫座標表示四甲基 矽烷的流率對C02流率之比,而縱座標表示單位 為”nm/min”的蝕刻率。所使用的蝕刻條件如下。 C4F8的流率為8 seem ’ C5F8的流率為3 seem,Ar的流 率為 320 seem,CO的流率為 190 seem,而 〇2為 8 seem。一 壓力約為4 Pa(30 mTorr),一來源電力為1750W,一偏壓電 力為1400W並且下電極的溫度為20°C。 若流率比為0.2或更高時,蝕刻率幾乎不被流率影響, 並且分佈在30 nm/min附近。可以見到姓刻率在低於0.2的 範圍中變快。所以,較佳的是,若SiC膜被利用為蝕刻擋 止膜時,在來源氣體之間的流率被設定成〇·2或更高。 第9圖為顯示在SiC膜被形成時四曱基矽烷對c〇2之流 率比與應力轉移之間的關係圖。橫座標表示四曱基矽烷的 流率對C〇2流率之比,而縱座標表示單位為”MPa/cm2,,的應 力轉移。應力轉移在膜被形成之後被以在1〇至12天之後各 基材的彎曲來測量。可以被了解的是,流率比變大,應力 會在負的方向上變大。具有大應力轉移之絕對值的樣品在 特別是超過0.6流率比的範圍中被發現。大應力轉移表示 SiC膜品質的不穩定性。所以,較佳將流率比設定成〇 6或 更小。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
-22 - 522519 « 發明説明(20 ) 如由上述研究所明瞭者,若在供以仏膜用之蝕刻條件 下的蝕刻率慢且Sic膜的穩定品質欲被得到時,較佳將四 甲基矽烷的流率對c〇2流率之流率比設定成一從〇 2至〇 6 的範圍,或更佳將流率比設定成一從〇 · 3至〇 · 5的範圍。 加下來,參考第10A至10N圖,藉由使用金屬鑲嵌方法 來製造半導體元件之方法將會被說明,而根據第一至第五 實施例之半導體製造方法被合併。 如第10A圖所示,一矽基材51在其表面上具有一構件 分離絕緣區域52。構件分離絕緣區域52藉由矽局部氧化作 用(LOCOS)或是淺溝渠隔離^丁^來被形成。一被構件分離 絕緣區域52圍繞之主動區域具有包括一閘極電極53〇、一 源極區域53S、及一汲極區域53D之MOSFET。閘極電極53G 的上表面具有一 Si〇2之上絕緣膜531。閘極電極53G的側壁 與上絕緣膜531具有側壁墊層53W。MOSFET 53可以藉由重 覆所熟知的微影、姓刻、離子植入及相似者來被形成。 在基材51之表面上,一由SiC所製成的蝕刻擋止膜57 被形成而覆蓋MOSFET 53。姓刻擔止膜57在參考第五實施 例所說明的較佳膜形成條件下被形成。在此蝕刻擔止膜57 上,一具有500 nm厚度的磷矽玻璃(PSG)之間層絕緣膜6〇 藉由化學蒸氣沉積(CVD)與CMP來被形成。 直至第10B圖所示的狀態之程序將會被說明。一光阻 幕罩61被形成在間層絕緣膜60的表面上。開口在相對於源 極區域53S與汲極區域53D之區塊中通過光阻膜61而被形 成。藉由使用光阻膜61作為一幕罩,間層絕緣膜60被姓刻 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閲讀背面之注意事項再填窝本頁) .、1^丨 .線丨 23 522519 A7 B7 五、發明説明(21 而在對應於源極區域53S與汲極區域53D之區塊中形成接 孔62S與62D。此蝕刻於蝕刻擋止膜57處會中止。光阻膜^ 其後被移除。 在第10B圖所示之例示中,由平行於與基材表面垂直 之線段觀看,接孔62D會部分地層疊閘極電極53(5。 如第ioc圖所示,在接孔62s與62D的底部上被暴露之 蝕刻擋止膜57被移除。蝕刻在參考第二實施例所說明之較 佳#刻條件下被進行。所以,源極區域5 3 s與汲極區域5 3 D 之部分表面區塊被暴露出來。因為上絕緣膜531被設置在閘 極電極53G上’因此閘極電極53G未被暴露出來。 如第10D圖所示,一厚度為3〇nm的遮蔽金屬層3〇被形 成,而覆蓋接孔62S與62D的内部表面以及蝕刻擋止膜57的 上表面。例如,遮蔽金屬層63被由Ti、TiN或TaN製成。在 遮蔽金屬層的表面上,一鎢(W)層被形成,其係具有足夠 遮盖以媽層來遮盖接孔6 2 S與6 2 D内側的厚度。例如,遮蔽 金屬層與W層被CVD形成。 CMP被進行直到間層絕緣膜60被暴露出來,以移除不 必要的遮蔽金屬層與W層。所以,由遮蔽金屬層63與W層 所製成的導電插塞64被留在接孔62S與62D中。 如第10E圖所示,在間層絕緣膜60上,一具有50 nm厚 度的SiC蝕刻擋止膜69被形成。在此蝕刻擋止膜69上,厚 度為250 nm的第一佈線層絕緣膜70被形成。例如,第一佈 線層絕緣膜70被由FSG製成。 在第一佈線層絕緣膜70上,一具有150 nm厚度的Si02 本紙張尺度適用中國國家標準(™S) A4規格(210χ297公釐) (請先閲讀背面之注意事項再填寫本頁) -訂— 24 A7
522519 五、發明説明(22 / 頂蓋膜71被電漿增進化學蒸氣沉積法形成。在頂蓋膜71 上,一光阻圖案74被形成。該光阻圖案74具有通過光阻圖 案並對應於欲在第一佈線層絕緣膜70中被形成之佈線來被 形成的開口 76。開口 76被一般的微影程序形成。 如第10E圖所示,藉由使用光阻圖案74作為一罩幕, 頂蓋層71與第一佈線層絕緣膜70被蝕刻。頂蓋層71與第一 層佈線膜70藉由使用CJs、qF8、Ar、CO及〇2的混合氣體 作為姓刻氣體之RIE而被#刻。此姓刻會於姓刻擔止膜69 處停止。所以,對應於光阻圖案74之開口 76的佈線凹槽75 通過第一佈線層絕緣膜70而被形成。在佈線凹槽75被形成 之後,光阻圖案74被移除。其後,在佈線凹槽乃之底部上 被暴露的触刻擋止膜69被移除。 如第10G圖所示,在間層絕緣膜6〇上,一具有5〇 ^爪厚 度的SiC姓刻擋止膜69被形成。在此餘刻播止膜69上,一 厚度為250 nm的第一佈線層絕緣膜7〇被形成。例如,第一 佈線層絕緣膜70被由FSG製成。 在第一佈線絕緣膜70上,一具有15〇 nm厚度的Si〇2頂 蓋膜71藉由電漿增進化學蒸氣沉積而被形成。一光阻圖案 74在頂蓋膜71上被形成。光阻圖案74具有通過光阻圖案並 對應欲被形成在第一佈線層絕緣膜7〇中之佈線而被形成的 開口 76。開口 76被一般的微影形成。 如第10F圖所示,藉由使用光阻圖案74作為一罩幕, 一頂蓋層71與第一佈線層絕緣膜7〇被蝕刻。頂蓋層乃與第 一層佈線膜70藉由使用C4F8、C5F8、Ar、CO及02的混合氣 本紙張尺度適用中國國家標準(CNS) A4規格(21〇><297公爱) ..................:…装···! (請先閱讀背面之注意事项再塡窝本頁〕 .訂丨 :線— 25 522519 A7 ___ B7_ 五、發明説明(23 ) (請先閲讀背面之注意事項再填寫本頁) 體作為姓刻氣體之RIE而被触刻。該姓刻於勉刻擔止膜69 處會終止。對應於光阻圖案74的開口 76之佈線凹槽75因此 通過第一佈線絕緣膜70而被形成。在佈線凹槽75被形成之 後’光阻圖案74被移除。其後,在佈線凹槽75的底部上被 暴露出來之蝕刻擋止膜69被移除。 如第10G圖所示’導電插塞64之上部表面在對應佈線 凹槽75之底部上被暴露出來。厚度為25 nm的遮蔽金屬層 72L被形成,而覆蓋佈線凹槽75之内部表面以及頂蓋膜71 之上部表面。由TiN或TaN所製成之遮蔽金屬層72L被濺鍍 形成。一銅導電層73L被形成在遮蔽層72L之表面上。導電 層73L藉由將遮蔽金屬層72L的表面以Cu晶粒層覆蓋並接 著電鍍Cu而被形成,並且具有一足夠以導電層遮蓋佈線凹 槽75之内側的厚度。 如第10H圖所示,CMP被執行直到頂蓋膜71被暴露出 來。覆蓋佈線凹槽75之内部表面的遮蔽金屬層72以及遮蓋 佈線凹槽75内側的Cu佈線73因而被留在佈線凹槽中。 如第101圖所示’在頂蓋膜71上,一具有50 nm厚度的 SiC擴散遮蔽膜8〇、一具有8〇〇 nm厚度的FSG間層絕緣膜 81 具有1〇〇 厚度的Si〇2頂盖膜85、及一具有50 nm 厚度的SiC硬幕罩86被接序地沉積。 例如,擴散遮蔽膜80藉由以第五實施例做說明之較佳 膜形成條件而被形成,並且硬幕罩86在與形成第1A圖所示 之第一實施例的硬幕罩5相同的條件下被形成。 如第10J圖所示,硬幕罩86被形成圖案以形成開口 87。 本紙張尺度適用中國國家標準(OB) A4規格(210X297公釐〉 -26 - 522519 五、發明説明(24 開口 87對應於欲在佈線層絕緣膜81中被形成之佈線圖案。 硬幕罩86在與蝕刻第1B圖所示之第一實施例的硬幕罩5相 似的條件下被形成。 如第10K圖所示,一光阻圖案9〇被形成在於開口 87的 底部上被暴露出來之頂蓋膜85上以及在硬幕罩86上。光阻 圖案90具有對應於欲通過間層絕緣膜81而被形成的通孔之 開口 91。由沿著平行於與基材表面垂直之線段觀看,開口 91被包括在通過硬幕罩86而被形成的開口 87中。藉由使用 光阻圖案90作為一罩幕,頂蓋膜85被蝕刻並且間層絕緣膜 81被蝕刻至其中間深度以形成通孔92。 在通孔92被形成之後,光阻圖案9〇被灰化並被移除。 如第10L圖所示,藉由使用硬幕罩86作為一蝕刻幕 罩’間層絕緣膜81從其上表面被蝕刻至中間深度以形成佈 線凹槽93。此時,通孔92的底部被進一步地蝕刻,並且通 孔92最終通過間層絕緣膜8丨被形成。蝕刻可以藉由使用 CA、、Ar、CO及Ο:的混合氣體作為蝕刻氣體之rie 而被餘刻。 如第10M圖所示,硬幕罩86與被暴露在通孔92之底部 上的擴散遮蔽膜80被蝕刻。此蝕刻在與蝕刻以第31)圖做說 明的第二貫施例之姓刻擔止膜13相似的條件下被進行。 如第10N圖所示,通孔92與佈線凹槽93的内部表面被 以遮蔽金屬層150覆蓋,並且通孔與佈線凹槽93之内側被以 Cu佈線遮蓋。遮叙金屬層15〇與Cu佈線151藉由與在第一佈 線層絕緣膜中形成遮蔽金屬層72與Cu佈線73相似的方法 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
(請先閲讀背面之注意事項再填寫本頁) 訂----- *線丨 27 522519 A7 ----— —_ B7 _ 五、發明説明(25 ) 而被形成。 如迄今所述者,一 SiC膜可以被使用作為一硬幕罩或是 一姓刻擋止膜。如傳統與使用SiN相比,在佈線圖案之間 的寄生電容可以被減少,使得半導體積體電路元件的高速 操作為可能。 本發明已經連同較佳實施例做說明。本發明不僅未被 限制於上述之實施例中。顯而易明的是各種修正、改善、 結合及相似者可被熟習此技者進行。 (請先閱讀背面之注意事項再填寫本頁) 訂· 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐〉 28 522519 A7 B7 五、發明説明(26 元件標號對照表 _ #
1 間層絕緣膜 2 間層絕緣膜 3 蝕刻擋止膜 4 間層絕緣膜 5 硬幕罩 5A 開口 6 光阻膜 6A 開口 11 間層絕緣膜 12 Cu佈線 13 蝕刻擋止膜 14 間層絕緣 14A 通孔 15 抗反射膜 16 光阻膜 16A 開口 21 間層絕緣膜 22 銅佈線 23 蝕刻擋止膜 24 保護膜 25 覆蓋膜 26 光阻膜 26A 開口 27 切口 28 連接墊 30 間層絕緣膜 31 佈線層絕緣膜 31A 佈線凹槽 32 TaN遮蔽金屬層 33 銅佈線 33L 銅膜 34 遮蔽金屬層 41 蝕刻擋止膜 42 FSG間層絕緣膜 43 SiN抗反射膜 44 光阻膜 44A 開口 45 通孔 46 佈線凹槽 47 光阻膜 47A 開口 51 $夕基材 52 構件分離絕緣區域 53 MOSFET (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 29 522519 A7 B7 發明説明( 27 ) 53G 閘極電極 53D 汲極區域 53W 側壁墊層 60 間層絕緣膜 62S 接孔 63 遮蔽金屬層 69 蝕刻擋止 71 頂蓋膜 72L 遮蔽金屬層 73L 銅導電層 75 佈線凹槽 80 擴散遮蔽膜 85 頂蓋膜 87 開口 91 開口 93 佈線凹槽 101 下電極 103 空氣出口埠 105 阻抗匹配電路 110 基材 151 Cu佈線 53S 源極區域 531 絕緣膜 57 蝕刻擋止膜 61 光阻幕罩 62D接孔 64 導電插塞 70 第一佈線層絕緣膜 72 遮蔽金屬層 73 Cu佈線 74 光阻圖案 76 開口 81 間層絕緣膜 86 SiC硬幕罩 90 光阻圖案 92 通孔 100 腔室 102 上電極 104 偏壓電源 107 阻抗匹配電路 150 遮蔽金屬層 (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 30

Claims (1)

  1. 522519 A8 B8 C8 __________D8 __ 六、申請專利範圍 1 · 一種製造半導體元件之方法,係包含下列步驟: 在一半導體基材上形成一第一膜,該第一膜係由 具有與碳化石夕不同之餘刻抗阻性的材料所製成; 在該第一膜上形成一第二膜,該第二膜係由經氫 化之碳化矽所製成; 在δ亥第一膜上形成一具有一開口之光阻層; 藉由使用該光阻幕罩作為蝕刻幕罩並使用至少添 加有SF0與NF3其中一者之碳氟氣體的混合氣體來乾式 餘刻該第二膜;以及 藉由使用該第二膜作為一幕罩來蝕刻該第一膜。 2· 一種製造半導體元件之方法,係包含下列步驟: 製備一基材,其係具有一暴露在該基材之一絕緣 表面的部分區域上之傳導區域; 在該基材之表面上形成一第一膜,該第一膜係由 經氫化之碳化矽所製成; 在該第一膜上形成一由絕緣材料所製成的第二 膜; 在泫第二膜上形成一具有一開口之光阻膜; 藉由使用該光阻幕罩作為蝕刻幕罩來蝕刻該第二 膜,以形成一切口並將在該切口底部上之該第一膜的 部分表面區域暴露出來; 灰化並移除該光阻膜; 藉由使用至少添加有SF6與NFS其中一者的碳氟氣 體之混合氣體來乾式蝕刻被暴露在該切口底部上之該 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂丨 -31 - 522519 A8B8C8D8 六、申請專利範圍 籲 # 第一膜,以將該基材之傳導區域暴露出來·以及 遮蓋一在該切口中之傳導構件。 3·根據申請專利範圍第2項之製造半導體元件之方法,其 中被暴露在該基材之絕緣表面的部分區域上之該傳導 區域為一銅佈線。 4·如申請專利範圍第3項之製造半導體元件之方法,其中 該鋼佈線之一上表面被以一遮蔽金屬層覆蓋,該遮蔽 金屬層係由選自以Ta、TaN、Ti與TiN組成之群組的材 料所製成。 5·如申請專利範圍第3項之製造半導體元件之方法,其中 第二膜為一種膜,其係選自一由碳化矽膜、一磷矽玻 璃之膜、一硼磷矽玻璃之膜、一氟矽酸鹽玻璃之膜、 氫倍半環氧乙烧之膜、一使用四乙基原矽酸鹽作為 來源所沉積之膜、一由旋塗式玻璃法所製成之膜、一 含碳的氧化矽之膜、一含矽的發泡多孔膜以及一有機 材料之絕緣膜所組成之群組。 6·如申請專利範圍第2項之方法,其中形成第一膜之步驟 藉由使用四曱基矽烷與二氧化碳作為來源氣體之化學 洛氣沉積,並且藉由將四曱基矽烷的流率對於二氧化 碳的流率之比設定成一個從0.2至0.6的範圍來形成 一膜。 一種製造半導體元件之方法,係包含下列步驟: 製備一基材,其係具有一被暴露在該基材之一 緣表面的部分區域上之傳導構件; 訂 第 7. 絕 本紙張尺度適财關家標準(_Α4規格⑽χ297^^ 32 522519 A8 B8 C8 ____ D8 I六、申請專利範圍~ ~ 在該基材表面上形成一第一膜,該第一膜係由經 氫化之碳化石夕所製成; (請先閱讀背面之注意事項再填寫本頁) 在該第一膜上形成一由絕緣材料所製成之苐二 膜’該絕緣材料係具有與碳化矽不同的蝕刻抗阻性; 在該第二膜上形成一第三膜,該第三膜係由經氫 化之碳化矽所製成; 在該第三膜上形成一具有開口之光阻膜,由沿著 平行於與基材表面垂直之線段觀看,該開口係與該傳 導構件的部分區域層疊; 藉由使用該光阻幕罩作為一蝕刻幕罩並使用至少 添加有SF0與NF3之其中一者的碳氟氣體之混合氣體來 钱刻該第三膜; 藉由使用該光阻幕罩作為一蝕刻幕罩並在該第二 ㈣㈣率較該第—膜的㈣率更快的條件下來姓刻 豸第二膜,以形成一切口並在該切口底部上將該第一 膜的部分表面區域暴露出來; 灰化並移除該光阻膜;以及 藉由使用至少添加有SF6與NF3之其中—者的碳氣 a體之混合氣體來乾式蝕刻被暴露在該切口底部上的 言亥第-膜,以將該基材之該傳導構件暴露出來。 8.如申請專利範圍第7項之方法,其中形成該第—膜之步 f料該第-膜射使基錢與二氧化碳料 來源氣體之化學蒸氣沉積,並且夢 土戈 U稽由將四F基矽烷的 丨〜率對二氧化碳的流率之比設定成—個從。2至。相 國家標準(CNS) A4規格(21。父297公|) ---------- 33 522519 A8 B8 C8 D8 、申請專利範圍 範圍而被形成。 9· 一種製造半導體元件之方法,係包含下列步驟: 製備一基材,其係具有一被暴露在該基材之一絕 緣表面的部分區域上之佈線; 在该基材表面上形成一第一膜,該第一膜係由經 氫化之碳化矽所製成; 在該第一膜上形成一由絕緣材料所製成之第二 膜,该絕緣材料係具有與碳化矽不同之蝕刻抗阻性; 在该第二膜上形成一第三膜,該第三層係由經氫 化之碳化矽所製成; 在該第三膜上形成一具有第一開口之第一光阻 膜由/σ著平行於與該基材表面垂直之線段觀看, 第一開口係與該傳導構件的部分部分區域層疊; 藉由使用該光阻幕罩作為-钱刻幕罩並使用至 添加有犯與叫之其中一者的碳氣氣體之混合氣體 蝕刻該第三膜,以將該第二膜的部分表面暴露出來 移除該第一光阻膜; ㈣經姓刻之第三膜的表面上形成—具有第二 口之第二光阻膜,該第二開口係被包含在該第 之一區域中並部分地與該佈線層疊; 藉由使用第二光阻幕罩作為—姓刻幕罩來姓刻 第一膜至少到其中間深度; 移除該第二光阻獏; 藉由使用該經部分餘刻之第三膜作為一幕罩幻 該 少來 開口 該 .......................裝:................訂.....—............線· (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(⑽ 34
    申請專利範
    到達在該第二開口被形成之區 ’並且在該第一開口被形成且 區域中形成一到達該第二膜之 刻該第三膜,以形成一 域中的該第一膜之通孔 β亥第一開口未被形成之 中間深度的佈線凹槽; 藉由使用至少添加有SF6與NF3之其中一者的碳氟 氣體之混合氣體來乾式#刻被暴露在該通孔底部上之 該第一膜,以將該佈線暴露出來;以及 以一傳導構#來遮蓋該it孔與該佈、線凹槽的内 部。 10·如申料利範圍第9項之方法,其中形成該第一膜之步 驟係為該第-膜藉由使用四曱基石夕烧與二氧化碳作為 來源氣體之化學蒸氣沉積,並且藉由將四甲基矽烷的 机率對一氧化碳的流率之比設定成一個從〇·2至〇 6的 範圍而被形成。 1 1 · 一種製造半導體元件之方法,係包含下列步驟: 藉由使用四曱基矽烷與二氧化碳作為來源氣體之 化學蒸氣沉積,並藉由將四甲基矽烷的流率與二氧化 石反的流率之比例設定成一從〇·2至〇·6的範圍而在一半 導體基材的表面上形成一碳化矽第一膜; 在該第一膜上形成一第二膜,該第二膜係由具有 與碳化石夕不同的蝕刻抗阻性之絕緣材料所製成; 开> 成一具有開口之光阻膜;以及 藉由使用該光阻膜作為一幕罩,在該第二膜的蝕 刻率較該第一膜的蝕刻率更快的條件下來蝕刻該第二 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公 35 522519 A8 B8 C8 D8 申請專利範圍 膜,以將該第一膜部分地暴露出來。 12.如申請專利範圍第11項之方法,其中該第二膜被由氟 矽酸鹽玻璃製成。 (請先閲讀背面之注意事項再填寫本頁) •、句丨 :線· 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 36
TW091101468A 2001-10-10 2002-01-29 Method of manufacturing semiconductor device having silicon carbide film TW522519B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001312883A JP2003124189A (ja) 2001-10-10 2001-10-10 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
TW522519B true TW522519B (en) 2003-03-01

Family

ID=19131463

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091101468A TW522519B (en) 2001-10-10 2002-01-29 Method of manufacturing semiconductor device having silicon carbide film

Country Status (6)

Country Link
US (1) US20030068582A1 (zh)
EP (1) EP1302981A3 (zh)
JP (1) JP2003124189A (zh)
KR (1) KR20030030838A (zh)
CN (1) CN1222030C (zh)
TW (1) TW522519B (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
JP4071069B2 (ja) * 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
JP2004296835A (ja) * 2003-03-27 2004-10-21 Applied Materials Inc ダマシン構造を形成する方法
US6903011B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Displacement method to grow cu overburden
JP4057972B2 (ja) 2003-07-25 2008-03-05 富士通株式会社 半導体装置の製造方法
JP3866694B2 (ja) * 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
TWI250558B (en) * 2003-10-23 2006-03-01 Hynix Semiconductor Inc Method for fabricating semiconductor device with fine patterns
US7517801B1 (en) * 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
KR100529676B1 (ko) 2003-12-31 2005-11-17 동부아남반도체 주식회사 듀얼 다마신 패턴을 형성하는 방법
US7173285B2 (en) * 2004-03-18 2007-02-06 Cree, Inc. Lithographic methods to reduce stacking fault nucleation sites
CN100433256C (zh) * 2004-03-18 2008-11-12 克里公司 减少堆垛层错成核位置的顺序光刻方法和具有减少的堆垛层错成核位置的结构
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060049139A1 (en) * 2004-08-26 2006-03-09 Tokyo Electron Limited Method and system for etching a gate stack
JP4615290B2 (ja) * 2004-11-16 2011-01-19 東京エレクトロン株式会社 プラズマエッチング方法
US7915735B2 (en) * 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
US20070048991A1 (en) * 2005-08-23 2007-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Copper interconnect structures and fabrication method thereof
US20070218699A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US7375021B2 (en) * 2006-04-04 2008-05-20 International Business Machines Corporation Method and structure for eliminating aluminum terminal pad material in semiconductor devices
JP2008053507A (ja) * 2006-08-25 2008-03-06 Matsushita Electric Ind Co Ltd ドライエッチング方法
JP4948278B2 (ja) * 2006-08-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100533678C (zh) * 2006-11-09 2009-08-26 厦门大学 减少ICP刻蚀SiC表面损伤的方法
US7767578B2 (en) * 2007-01-11 2010-08-03 United Microelectronics Corp. Damascene interconnection structure and dual damascene process thereof
CN101231968B (zh) * 2007-01-26 2010-11-17 联华电子股份有限公司 镶嵌内连线结构与双镶嵌工艺
KR100924545B1 (ko) * 2007-03-15 2009-11-02 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100862315B1 (ko) 2007-03-23 2008-10-13 주식회사 하이닉스반도체 마스크 리워크 방법
CN101157569B (zh) * 2007-09-03 2010-06-02 中国电子科技集团公司第十三研究所 一种无损腐蚀碳化硅的方法
JP6249815B2 (ja) * 2014-02-17 2017-12-20 株式会社Ihi 耐熱複合材料の製造方法及び製造装置
JP6310816B2 (ja) * 2014-08-26 2018-04-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR102481037B1 (ko) * 2014-10-01 2022-12-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 배선층 및 그 제작 방법
US10453684B1 (en) * 2018-05-09 2019-10-22 Applied Materials, Inc. Method for patterning a material layer with desired dimensions

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2364989C3 (de) * 1973-12-28 1979-10-18 Consortium Fuer Elektrochemische Industrie Gmbh, 8000 Muenchen Verfahren zur Herstellung von Schichten aus Siliciumcarbid auf einem Siliciumsubstrat
JPS58141377A (ja) * 1982-02-16 1983-08-22 Seiko Epson Corp プラズマコ−テイング法
JP3229002B2 (ja) * 1992-04-24 2001-11-12 キヤノン株式会社 電子写真用光受容部材
US5433823A (en) * 1993-09-30 1995-07-18 Cain; John L. Selective dry-etching of bi-layer passivation films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6287959B1 (en) * 1998-04-23 2001-09-11 Advanced Micro Devices, Inc. Deep submicron metallization using deep UV photoresist
AU4277700A (en) * 1999-05-03 2000-11-17 Dow Corning Corporation Method for removal of sic
US6261157B1 (en) * 1999-05-25 2001-07-17 Applied Materials, Inc. Selective damascene chemical mechanical polishing
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
US6475889B1 (en) * 2000-04-11 2002-11-05 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6730597B1 (en) * 2000-08-03 2004-05-04 Texas Instruments Incorporated Pre-ECD wet surface modification to improve wettability and reduced void defect
US6362094B1 (en) * 2000-08-16 2002-03-26 Agere Systems Guardian Corp. Hydrogenated silicon carbide as a liner for self-aligning contact vias
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6717194B2 (en) * 2001-10-30 2004-04-06 Micron Technology, Inc. Magneto-resistive bit structure and method of manufacture therefor

Also Published As

Publication number Publication date
JP2003124189A (ja) 2003-04-25
CN1222030C (zh) 2005-10-05
EP1302981A3 (en) 2004-06-02
CN1411050A (zh) 2003-04-16
EP1302981A2 (en) 2003-04-16
KR20030030838A (ko) 2003-04-18
US20030068582A1 (en) 2003-04-10

Similar Documents

Publication Publication Date Title
TW522519B (en) Method of manufacturing semiconductor device having silicon carbide film
US6905968B2 (en) Process for selectively etching dielectric layers
US7326651B2 (en) Method for forming damascene structure utilizing planarizing material coupled with compressive diffusion barrier material
US6291334B1 (en) Etch stop layer for dual damascene process
TW558769B (en) Etch process for dielectric materials comprising oxidized organo silane materials
TW488026B (en) Protective hardmask for producing interconnect structures
US7557043B2 (en) Method of fabricating the stacked structure and damascene process
US7094669B2 (en) Structure and method of liner air gap formation
JP5671253B2 (ja) 半導体装置の製造方法
US6191028B1 (en) Method of patterning dielectric
US9824918B2 (en) Method for electromigration and adhesion using two selective deposition
JP2004221275A (ja) 有機絶縁膜及びその製造方法及び有機絶縁膜を用いた半導体装置及びその製造方法。
JP2004241776A (ja) 低k誘電体フイルムの化学的処理
TW557478B (en) Semiconductor device and manufacturing method thereof
US6495448B1 (en) Dual damascene process
US7202160B2 (en) Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
JP2002009058A (ja) エッチング方法
KR100684905B1 (ko) 다마신 공정의 방법
TW517307B (en) Manufacture method for semiconductor device having silicon-containing insulating film
TWI235455B (en) Method for manufacturing semiconductor device
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
JP2005005697A (ja) 半導体装置の製造方法
TW517271B (en) Method of manufacturing semiconductor device
CN116190209B (zh) 低介电常数介质层及金属互连结构的制作方法
KR100389041B1 (ko) 에이치에스큐막을 층간절연막으로 사용하는 배선 형성 방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees