KR100367852B1 - 포토레지스트에 대해 고선택비를 갖는 에칭 방법 - Google Patents

포토레지스트에 대해 고선택비를 갖는 에칭 방법 Download PDF

Info

Publication number
KR100367852B1
KR100367852B1 KR10-2002-0044096A KR20020044096A KR100367852B1 KR 100367852 B1 KR100367852 B1 KR 100367852B1 KR 20020044096 A KR20020044096 A KR 20020044096A KR 100367852 B1 KR100367852 B1 KR 100367852B1
Authority
KR
South Korea
Prior art keywords
etching
photoresist
silicon
oxide
high selectivity
Prior art date
Application number
KR10-2002-0044096A
Other languages
English (en)
Other versions
KR20020081154A (ko
Inventor
김동수
배경빈
Original Assignee
에이엔 에스 주식회사
김동수
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이엔 에스 주식회사, 김동수 filed Critical 에이엔 에스 주식회사
Priority to KR10-2002-0044096A priority Critical patent/KR100367852B1/ko
Publication of KR20020081154A publication Critical patent/KR20020081154A/ko
Application granted granted Critical
Publication of KR100367852B1 publication Critical patent/KR100367852B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 고선택비를 갖는 에칭방법에 관한 것으로, 특히 미세화가 진행된 반도체 장치의 제조시에 사용되는 드라이 에칭 기술에 의한 포토레지스트에 대해 고선택비를 갖는 에칭방법에 관한 것이다. 본 발명은 실리콘 및 포토레지스트에 대해 산화물 및 니트라이드에 대해 선택적으로 에칭하는 에칭방법에 있어서, 산화물 및 니트라이드 층이 적층되고 상기 산화물 및 니트라이드 및 실리콘에 패턴 레지스트 층이 중첩된 기판을 에칭 실에 배치하는 공정; 상기 에칭실에 C4F6로 구성된 그룹에서 선택된 낮은 선택비를 가진 유기실리카글래스(OSG), 제 1의 플루오르, 제 2의 산소, 제 3의 디플로로 메탄, 및 제 4의 카본 모노 산화물을 함유한 에칭 가스 혼합물을 유입하는 공정; 및, 상기 산화물 및 니트라이드 층이 상기 실리콘 및 포토레지스트에 대해 선택하여 에칭하도록 상기 에칭 가스를 여기시키는 공정을 포함한다.

Description

포토레지스트에 대해 고선택비를 갖는 에칭 방법{Method for Etching With High Selectivity To Photoresist}
본 발명은 고선택비를 갖는 에칭방법에 관한 것으로, 특히 미세화가 진행된반도체 디바이스의 듀얼 다마신 공정에 의한 콘택트 홀 및 배선 구조의 형성시에 사용되는 저유전율의 층간 절연막의 드라이 에칭 기술에 의한 포토레지스트에 대해 고선택비를 갖는 에칭방법에 관한 것이다.
반도체 집적회로의 고집적화에 따른 배선폭이나 배선 간격이 대단히 좁아지고 있으며, 배선 길이도 상당히 길어지고 있다. 그 결과, 배선 저항이나 배선간 용량이 증대하고, 이것에 의한 배선 지연이나 소비전력의 증대가 무시할 수 없게 되어왔다. 이와 같은 고집적화에 따른 디바이스 성능에의 영향을 저감하는 방법의 한가지로서, 배선 사이의 절연막을 저유전율화하는 방법이 연구 개발되고 있다.
상기한 저유전율 재료로서는, 통상적으로 TEOS 또는 보로포스파 실리카 글래스(BPSG)가 증착되는 플라즈마 CVD 공정으로 이산화실리콘 산화물이 성장하는 실리카산화물로 이루어진다. 이러한 실리카산화물중 하나는 산화막(SiO2)에 불소를 함유한 FSG막이나 유기 OSG(Organo Silicate Glass)막, 유기 절연막 또는 다공질 산화물등을 들 수 있다. 최근, 층간 절연물로 사용되는 저유전율(k) 물질이 개발되고 있다. 이러한 저유전율 물질은 수평 또는 수직으로 인접한 라인사이의 용량성 결합을 감소시킬 수 있어 크로스 토크 전력소비 및 신호 상승 시간을 감소시킨다.
그러나, 상기 다공성 산화물 등의 저유전율막을 디바이스에 도입하는데 있어서는, 양호한 콘택트 홀 및 배선 구조를 얻기 위하여 다공성 산화물는 실리콘 산화물, 예컨대 실리콘 카보나이트(SiC) 또는 실리콘 니트라이드(SiN)에 대하여 매우큰 에칭 선택비를 가져야 한다.
따라서, 본 발명의 목적은 반도체 디바이스의 제조시 콘택트 홀을 형성할 경우 형상이 안정될 수 있도록 에칭 가스를 함유하는 탄소를 조성 중 적어도 일부로 하는 OSG의 가스가 점유되는 드라이 에칭 방법에 의해 달성된 포토레지스트에 대해 고선택비의 에칭이 가능한 반도체 장치의 제조 방법을 제공하는 데에 있다.
도 1 내지 도 13은 본 발명의 제1 실시 형태에 따른 에칭 방법에 의해 반도체 장치의 제조 방법을 나타내는 반도체 장치의 단면도.
<도면의 주요 부분에 대한 간단한 설명>
1 : 실리콘 기판
10: 실리콘 카보나이트(SiC) 20 : 비정질 카본(a-C)
30 : 다공성 산화물 34 : 측벽
35 : 콘택트 홀 38 : 포토레지스트
39: 마스크 40 : 탄탈 니트라이드
상기한 목적을 달성하기 위하여 상기와 같은 본 발명은 실리콘 및 포토레지스트에 대해 산화물 및 니트라이드에 대해 선택적으로 에칭하는 에칭방법에 있어서,
산화물 및 니트라이드 층이 적층되고 상기 산화물 및 니트라이드 및 실리콘에 패턴 레지스트 층이 중첩된 기판을 에칭 실에 배치하는 공정;
상기 에칭실에 C4F6로 구성된 그룹에서 선택된 낮은 선택비를 가진 유기실리카글래스(OSG), 제 1의 플루오르, 제 2의 산소, 제 3의 디플로로 메탄, 및 제 4의 카본 모노 산화물을 함유한 에칭 가스 혼합물을 유입하는 공정; 및,
상기 산화물 및 니트라이드 층이 상기 실리콘 및 포토레지스트에 대해 선택하여 에칭하도록 상기 에칭 가스를 여기시키는 공정을 포함한다.
도 1 내지 도 13은 본 발명의 포토레지스트에 대해 고선택비를 갖는 에칭방법에 대한 제1 실시 형태에 관한 포토레지스트에 대해 고선택비를 갖는 에칭방법에 대해 설명하기 위한 것으로, 드라이 에칭 또는 애싱 장치(도시 안됨)장치에서 처리영역의 에칭실에서 이루어지는 형태에 관한 포토레지스트에 대해 고선택비를 갖는 에칭방법에 대해서 설명한다. 상기 설명한 바와 같이 배선사이의 절연막을 저유전율화하는 방법은 도 1 내지 및 도 13에 도시된 것과 같은 구조를 갖는다.
먼저, 도 1에 있어서, 실리콘 기판(1)에 하층 배선이 되는 금속 배선(2)을 형성한 후, 금속 배선(2) 위에 배리어층으로서 실리콘 카보나이트(SiC) 또는 실리콘 니트라이드(SiN)(10)를 증착하고, 이 실리콘 카보나이트(SiC) 또는 실리콘 니트라이드(SiN)(10)상부에 플라즈마증가형 화학증기 증착법(PECVD)에 의해서 증착될수 있는 층간 절연막이 되는 비정질 카본(amorphous carbon;a-C)이나 스핀-온 카본(Spin-on carbon)(20)을 증착한다.
이어서, 상기 비정질 카본(a-C) 또는 스핀-온 카본(20)에 대하여 패턴을 떠서 다공성 산화물(30)을 증착한(도 2 참조)다음, 포토리소그래피 기술에 의해 하층 배선(10)을 도통시키기 위한 콘택트 홀(35)을 형성하고, 플라즈마 식각하여 패턴을 형성한다(도 3 참조).
다음에, 도 4에 있어서, 미세한 홈을 형성하는 트랜치 에칭(trench etching) 동안에 컨택트 홀(35) 또는 비아 바닥을 보호하도록 PR(Photo Resist)층(38)를 충전시킨다.
한편, 본 발명의 도 3 및 도 4에서 나타낸 바와 같이, 먼저 에칭 가스로서 C4F6/O2/CH3F/Ar의 혼합가스를 이용한 듀얼 다마신(Dual Damascene)법에 의해 다공성 산화물(30)을 에칭한다. 이 혼합가스인 에칭제는, 도 4에 도시된 바와 같이 에칭전에 맹렬하게 형성되는 컨택트 홀(35)의 에칭 선택비를 크케하면서 퇴적하는 데에 유리하다. 여기서, 포토레지스트 및 실리콘 카바이트에 대해 선택비가 큰 유기실리카 글래스(Organic Silica Glass; OSG)를 에치 스탑구조로 사용하여 에칭한다. OSG 물질은 CH4/N2/O2/CF4또는 H2/N2/O2/CF4중에서 선택된다.
여기서, 다공성 산화물(30)에는 폴리머코팅이 지배적으로 형성된다. 이 폴리머 코팅(30)은 모두 카본에 기초한 폴리머이므로 다공성 산화물(30)에 대하여 에칭 첨가제로 될 수 있다.
또한, 본 발명은 컨택홀의 공정을 설명한 것으로, 니트라이드에 대해 비교적 높은 선택비를 가지며, 애스펙트 비율이 큰 옥사싱드로 에칭홀을 형성한다.
다음에, PR층(38)의 트랜치 깊이를 조절하기 위해 도 5에서와 같이, 트랜치에 대해 PR층(38)을 에칭 백한다. 또한 또다른 트랜치를 형성하기 위해 에칭 마스크(39)를 적층하고(도 6 참조), 포토리소그래피 공정에 의 하여 다공성 산화물(30)을 에칭하고(도 7 참조), 에칭 마스크(39)를 사용하여 포토레지스트(30) 및 실리콘 카바나이트(10)를 제거하고(도 8 참조), 그 후, 탄탈 니트라이드(TaN)층(40)를 증착한다(도 9 참조). 그 다음, 베이크하여 Cu(50)를 배리어로써 전기 화학적 도금법(ECP)에 의해 상기 Cu(50)를 증착하고(도 10), 상기 Cu(50)와 TaN(40)의 요철면을 평탄화하도록 CMP(화학 기계적 폴리싱)처리한다(도 11 참조).
다음, 고온 애싱설비에서 다공성 산화물(30)속의 C-폴리머와 비정질 카본을 제거하기 위해 O3또는 O2애싱 공정(또는 에칭 공정)을 행한다(도 12 참조). 도 12를 참조하면, 층간 절연막으로 사용되는 비정질 카본(a-C)이나 스핀-온 카본(SOC)(20)가 제거된 부분(60)을 예시하고 있음을 알 수 있다.
다음, 도 12의 애싱(에칭)후에 진공 상태하에서 배리어로서 또다른 실리콘 카보나이트(SiC)층(70)를 후증착한다.
상기와 같이, 본 발명의 포토레지스트에 대해 고선택비를 갖는 에칭방법에 의하면, OSG를 에칭 가스 중 적어도 일부에 이용하여 듀얼 다마신을 행함으로써, 콘택트 홀의 에칭을 행하여 콘택트 홀의 형상을 안정되고 신뢰성이 우수하게 형성할 수 있다.

Claims (2)

  1. 실리콘 및 포토레지스트에 대해 산화물 및 니트라이드에 대해 선택적으로 에칭하는 에칭방법에 있어서,
    산화물 및 니트라이드 층이 적층되고 상기 산화물 및 니트라이드 및 실리콘에 패턴 레지스트 층이 중첩된 기판을 에칭 실에 배치하는 공정;
    상기 에칭실에 C4F6로 구성된 그룹에서 선택된 낮은 선택비를 가진 유기실리카글래스(OSG), 제 1의 플루오르, 제 2의 산소, 제 3의 디플로로 메탄, 및 제 4의 카본 모노 산화물을 함유한 에칭 가스 혼합물을 유입하는 공정; 및,
    상기 산화물 및 니트라이드 층이 상기 실리콘 및 포토레지스트에 대해 선택하여 에칭하도록 상기 에칭 가스를 여기시키는 공정을 포함하는 것을 특징으로 하는 포토레지스트에 대해 고선택비를 갖는 에칭방법.
  2. 제 1항에 있어서,
    상기 OSG 물질은 CH4/N2/O2/CF4또는 H2/N2/O2/CF4중에서 선택된 것을 특징으로 하는 포토레지스트에 대해 고선택비를 갖는 에칭방법.
KR10-2002-0044096A 2002-07-26 2002-07-26 포토레지스트에 대해 고선택비를 갖는 에칭 방법 KR100367852B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2002-0044096A KR100367852B1 (ko) 2002-07-26 2002-07-26 포토레지스트에 대해 고선택비를 갖는 에칭 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0044096A KR100367852B1 (ko) 2002-07-26 2002-07-26 포토레지스트에 대해 고선택비를 갖는 에칭 방법

Publications (2)

Publication Number Publication Date
KR20020081154A KR20020081154A (ko) 2002-10-26
KR100367852B1 true KR100367852B1 (ko) 2003-01-10

Family

ID=27727489

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0044096A KR100367852B1 (ko) 2002-07-26 2002-07-26 포토레지스트에 대해 고선택비를 갖는 에칭 방법

Country Status (1)

Country Link
KR (1) KR100367852B1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106811752B (zh) * 2015-12-02 2019-10-25 中微半导体设备(上海)股份有限公司 形成双大马士革结构的方法、等离子体刻蚀方法

Also Published As

Publication number Publication date
KR20020081154A (ko) 2002-10-26

Similar Documents

Publication Publication Date Title
US6291334B1 (en) Etch stop layer for dual damascene process
KR100407542B1 (ko) 반도체 장치 및 그 제조 방법
US7125792B2 (en) Dual damascene structure and method
KR101046862B1 (ko) 낮은 k 이중 다마신 집적회로들의 형성에 사용할 수 있는 유기 barc 식각 프로세스
US6905968B2 (en) Process for selectively etching dielectric layers
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
EP1302981A2 (en) Method of manufacturing semiconductor device having silicon carbide film
KR20020025717A (ko) 에칭 방법
US7759244B2 (en) Method for fabricating an inductor structure or a dual damascene structure
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
US7488687B2 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
US7091612B2 (en) Dual damascene structure and method
JP4108310B2 (ja) シリコン含有絶縁膜を有する半導体装置の製造方法
KR100367852B1 (ko) 포토레지스트에 대해 고선택비를 갖는 에칭 방법
KR20040101008A (ko) 반도체 장치의 제조 방법
KR20030050951A (ko) 반도체 소자의 금속배선 형성방법
KR20040105578A (ko) 반도체 장치 및 그 제조 방법
JP2005005697A (ja) 半導体装置の製造方法
KR100909175B1 (ko) 듀얼 다마신 패턴 형성 방법
US7135406B2 (en) Method for damascene formation using plug materials having varied etching rates
KR101024871B1 (ko) 듀얼 다마신 패턴 형성 방법
KR100591017B1 (ko) 반도체 소자의 제조방법
WO2001054191A1 (en) Damascene structure and method for forming a damascene structure
KR100552810B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR20020034352A (ko) 반도체 소자의 층간절연막 형성 방법 및 이를 이용한 금속배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121107

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20131104

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20141105

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20161129

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee