KR100624273B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR100624273B1
KR100624273B1 KR1020000050635A KR20000050635A KR100624273B1 KR 100624273 B1 KR100624273 B1 KR 100624273B1 KR 1020000050635 A KR1020000050635 A KR 1020000050635A KR 20000050635 A KR20000050635 A KR 20000050635A KR 100624273 B1 KR100624273 B1 KR 100624273B1
Authority
KR
South Korea
Prior art keywords
gate
chamber
plasma processing
processing apparatus
opening
Prior art date
Application number
KR1020000050635A
Other languages
English (en)
Other versions
KR20010030159A (ko
Inventor
오야부준
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20010030159A publication Critical patent/KR20010030159A/ko
Application granted granted Critical
Publication of KR100624273B1 publication Critical patent/KR100624273B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber

Abstract

본 발명은 플라즈마를 이용하여 피 처리체에 막 부착이나 에칭 처리를 하기 위한 챔버를 구비하고, 이 챔버에 마련된 피 처리체를 반입 반출하기 위한 챔버 게이트의 개구부 표면을 덮어, 플라즈마에 의해 작용하는 것을 방지하는 게이트 라이너를 장착한 플라즈마 처리 장치에 관한 것이다. 또한, 챔버 게이트의 개구부의 깊이와, 그 짧은 방향 길이의 비인 게이트 종횡비가 상기 챔버 내에서의 애노드 영역과 캐소드 영역의 면적 비인 애노드/캐소드 비에 따라 게이트 공간에서의 이상 방전을 방지하도록 결정된다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
도 1은 본 발명의 제 1 실시예에 관한 플라즈마 처리 장치를 도시한 개략적인 단면도,
도 2는 본 발명의 제 2 실시예에 관한 플라즈마 처리 장치의 개략적인 단면도,
도 3은 제 2 실시예에 관한 플라즈마 처리 장치에 있어서의 챔버 게이트의 구성을 도시한 도면,
도 4는 게이트 라이너를 배치한 챔버 게이트의 구성을 도시한 도면,
도 5는 본 발명의 제 1 실시예에 관한 플라즈마 처리 장치에 벨로스 링을 추가한 구성을 도시한 개략적인 단면도,
도 6은 게이트 공간의 이상 방전의 유무를 애노드/캐소드 비와 게이트 종횡비의 관계에 근거하여 정리하고 도시한 도면.
도면의 주요부분에 대한 부호의 설명
1 : 챔버 2 : 하부 전극
17 : 가스 배출구 18 : 가스 도입구
20 : 가스 공급원 21 : 챔버 게이트
22 : 게이트 개구부 23 : 게이트 밸브
24 : 게이트 라이너 30 : 반송 아암
본 발명은 반도체 웨이퍼 등의 피 처리체에 대하여 에칭 처리, 성막 처리 등의 플라즈마 처리를 실행하는 플라즈마 처리 장치에 관한 것이다.
일반적으로, 반도체 장치나 LCD 장치의 제조에 있어서는 드라이 에칭이나 플라즈마 CVD(Chemical Vapor Deposition) 등의 플라즈마 처리가 많이 이용되고 있다. 이러한 플라즈마 처리를 실행하는 장치로는, 예컨대 챔버 내에 대향 배치된 한 쌍의 전극의 한쪽에 피 처리체를 탑재하고, 챔버 내를 감압한 프로세스 가스 분위기로 만들어, 이들 전극 사이에 고주파 전력을 인가시킨다. 그러면, 프로세스 가스가 플라즈마화되어, 피 처리체에 에칭이나 용착(deposition) 플라즈마 처리가 실시된다. 이러한 피 처리체로는 반도체 웨이퍼나 LCD 용 유리 기판 등이 있다.
이러한 플라즈마 처리 장치의 챔버의 측벽에는, 반도체 웨이퍼를 챔버 내에 반입 및 반출하기 위한 개구부인 챔버 게이트가 설치되어 있다. 챔버 게이트로는 챔버 벽에 형성된 개구부(구멍)와 그것을 개폐 가능하게 막는 게이트 밸브가 챔버 외측 부분에 설치되어 있다. 이 게이트 밸브를 열고 반도체 웨이퍼를 반입출하고, 플라즈마 처리를 실행할 때에는 게이트 밸브를 닫고 챔버 내부를 밀폐시킨다.
통상, 챔버 내부가 부압이 되기 때문에, 이 게이트 밸브는 개구부를 외측에서 닫도록 설치된다. 이 때문에 챔버 내벽 측에서 보면, 챔버의 벽 두께가 있기 때문에 국부적으로 오목한 공간이 형성되게 된다(이하, 이 공간을 게이트 공간이라고 칭함).
종래의 플라즈마 처리 장치에서는, 이 게이트 공간은 게이트 밸브의 게이트면과 챔버 내벽의 개구부면이 노출 구조로 되어있었기 때문에, 용착 플라즈마 처리를 실행하면 게이트 공간의 게이트면 및 개구부면에 불필요한 박막이 부착된다. 장치의 정비시에 이물질의 원인이 되는 이러한 불필요한 박막을 제거해야 하는데, 개구부면은 게이트 밸브를 연 후에 용제를 묻힌 헝겊 등을 이용하여 손작업으로 닦아내야 하고, 게이트면은 닫은 상태로 챔버 내측으로부터 닦아내거나, 게이트 밸브를 분리시켜서 닦아내지 않으면 안되기 때문에 시간이 매우 걸리는 작업이었다.
또한, 용착에 의한 불필요한 박막뿐만 아니라, 개구부면이 플라즈마에 노출되면 스퍼터링 효과에 의해 표면이 깎이게 되고, 최악의 경우에는 챔버 자체를 교환해할 필요가 발생하여, 이것이 장치의 수명을 단축시키는 하나의 요인이 되고 있다.
한편, 챔버의 내벽면은 실드를 설치한 경우라도 돌기가 없는 평탄한 면이 되도록 고안되어 있지만, 게이트 공간에서는 반도체 웨이퍼를 출납하기 위해서 절결 부가 필요하기 때문에, 실드를 설치할 수 없었다. 그 때문에 플라즈마 공간으로부터 보면 돌출한 공간을 형성하고 있는 것이 된다. 이 돌출한 공간을 가진 채로 플 라즈마 처리를 실행한 경우에는 플라즈마가 흘러들어, 게이트 공간에 이상 방전이 발생하는 경우가 있다. 게이트 공간에 이상 방전이 발생하면, 반도체 웨이퍼의 게이트 부근에서의 식각율(etch rate)이 높아져, 반도체 웨이퍼의 면 내부의 식각율의 균일성이 악화되는 문제로 연결된다.
이 대책으로서 종래에는 게이트 공간 이외의 부분에서 적극적으로 이상 방전을 발생시켜, 게이트 공간에서 발생하는 이상 방전과의 밸런스를 취하는 등의 대책을 실행하고 있지만, 근본적인 해결에는 이르지 않는다.
본 발명은 게이트 공간에서의 이상 방전의 발생을 억제하고, 챔버 게이트의 유지 보수성을 향상시키고, 또한 장치 전체로서의 수명이 긴 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
그래서, 본 발명은 챔버 내에 피 처리체를 수납하고, 상기 피 처리체에 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서, 상기 피 처리체를 반입 반출하기 위해 상기 챔버에 설치되는 게이트 개구부와, 이 게이트 개구부를 밀폐하도록 막거나 개방시키는 게이트 밸브를 구비하고, 또한 상기 게이트 개구부의 표면을 덮도록 착탈 가능하게 장착되고, 상기 플라즈마 처리시에 플라즈마에 의해 작용하는 것을 방지하는 게이트 라이너를 구비하는 플라즈마 처리 장치를 제공한다.
또한, 내부를 진공 상태로 유지할 수 있고 피 처리체에 플라즈마 처리를 실시하기 위한 챔버와, 상기 챔버 내부를 진공 배기하는 배기 기구와, 상기 챔버 내 에 프로세스 가스를 도입하는 가스 도입 기구와, 피 처리체를 지지하는 하부 전극과, 상기 하부 전극에 대향하여 설치된 상부 전극과, 상기 챔버 외부에 설치되어 상기 전극에 전력을 인가하고 상기 챔버 내에 프로세스 가스의 플라즈마를 형성하는 전원과, 상기 챔버에 마련된 상기 피 처리체를 반입 반출하기 위한 게이트 개구부와, 이 게이트 개구부를 밀폐하도록 막거나 개방시키는 게이트 밸브를 구비하고 있고, 상기 챔버 게이트의 개구의 깊이와 상기 개구에 있어서의 짧은 방향 길이의 비로 이루어지는 게이트 종횡비가, 상기 챔버 내에서의 애노드 부분의 면적과 캐소드 부분의 면적의 비로 이루어지는 애노드/캐소드 비에 따라 게이트 공간에서의 이상 방전을 방지하도록 결정되는 플라즈마 처리 장치를 제공한다.
이하, 도면을 참조하여 본 발명의 실시예에 대하여 상세히 설명한다.
도 1은 본 발명의 제 1 실시예에 관한 플라즈마 처리 장치를 도시한 개략적인 단면도이다. 이 플라즈마 처리 장치에 의한 피 처리체로는 반도체 웨이퍼나 LCD 용 유리 기판 등이 대표적이다. 이후의 설명에 있어서는 반도체 웨이퍼를 예로 들어 설명한다.
이 플라즈마 처리 장치는, 예컨대 내측 표면이 알루마이트 처리된 알루미늄으로 이루어지는 도전성 챔버(1)를 탑재하고 있고, 이 챔버(1)의 전위는 접지되어 있다. 이 챔버(1) 내에는 반도체 웨이퍼(W)를 수평(후술하는 상부 전극에 평행한 상태)으로 유지하는 하부 전극(2)이 설치된다. 이 하부 전극(2)은 알루미늄 등의 도전체로 이루어지는 지지대(4)에 탑재되어 있다. 그리고 챔버(1)의 내주벽에는 다수의 가스 통과 구멍을 가진 환상(環狀)으로 형성된 가스 확산용 배플판(5)이 설치된다. 이 배플판(5)은 알루미늄 등의 도전체로 구성되고, 챔버(1)에 나사 고정되어 전기적으로 접속되어 있다.
하부 전극(2)에는 반도체 웨이퍼(W)를 정전기로 흡착 유지하는 정전 척(도시하지 않음)의 기능이 있다. 그래서, 지지대(4)는 절연 부재(3) 및 하부 전극(2)과 일체로 되어, 도시하지 않은 승강 기구에 의해서 챔버(1) 내부를 상하 이동하는 것이 가능한 구성으로 되어있다.
상기 지지대(4)의 하측과 챔버(1)의 바닥 벽은, 예컨대 스테인리스강으로 이루어진 벨로스(6)가 O링 등을 이용하여 기밀하게 접속되어 있고, 벨로스 내부(6a)를 대기측, 벨로스 외부(6b)를 진공측으로 분리하고 있다. 이 벨로스(6)는 지지대(4)가 챔버(1) 내를 상하로 이동함에 따라 신축한다. 벨로스(6)의 외주에는 원통형의 벨로스 커버[7(7a, 7b)]가 설치되어 있다. 벨로스 커버(7)는 벨로스(6)의 신축에 대응 가능하도록, 하부 부재(7a)와 상부 부재(7b)로 분리되어 있다. 또한, 후술하는 슬라이드 콘택트(27)를 자성체 밀봉을 갖는 도입계로 바꿔 이용하면, 이 벨로스를 이용하지 않더라도 실현할 수 있다.
하부 전극(2)에는 챔버(1)의 하측에 마련된 고주파 전원(11)이 정합기(10) 및 전기 공급 막대(8)를 거쳐서 접속되어 있다. 전기 공급 막대(8)의 주위에는 지지대(4)로부터 하측으로 연장하는 금속제의 파이프(9)가 설치되어 있다. 하부 전극(2) 내부에는 냉매 유로(13)가 형성되어 있고, 이 속에 냉매 공급관(14)을 통해 서 냉매가 흐르도록 되어 있다.
또한, 하부 전극(2)에는 복수의 리프트 핀(29)이 설치되어 있다. 이들의 리프트 핀(29)은, 예컨대 반도체 웨이퍼(W)의 교환시에 상승하고, 웨이퍼 반송 기구로부터 핀 선단부에 반도체 웨이퍼(W)가 탑재되고, 그대로 하강함으로써 하부 전극(2)에 세트한다.
챔버(1)의 천정 벽(1a)에는 하부 전극(2)에 평행하게 대치하도록 상부 전극으로서 기능하는 샤워 헤드(16)가 설치되어 있다. 이 샤워 헤드(16)의 하면에는 다수의 배출구(17)이 형성되어 있다. 샤워 헤드(16)의 상부에는 가스 도입구(18)가 설치되어 있고, 가스 도입구(18)에는 소정의 프로세스 가스를 샤워 헤드(16)를 통하여 챔버(1) 내에 공급하기 위한 프로세스 가스 공급원(20)이 접속되어 있다.
그리고 챔버(1) 내부에 프로세스 가스가 공급되고, 하부 전극(2)에 고주파 전력이 인가됨으로써, 하부 전극(2)과 샤워 헤드(16) 사이에 플라즈마가 형성되어, 반도체 웨이퍼(W)에 소정의 플라즈마 처리가 실시되도록 되어 있다.
한편, 챔버(1)의 측벽(1b)에는 반도체 웨이퍼(W)를 반입출하기 위한 챔버 게이트(21)가 설치되어 있다. 이 챔버 게이트(21)는 챔버(1)에 설치된 개구부(22)와, 이 개구부(22)의 외측으로부터 개폐 가능하도록 설치된 게이트 밸브(23)와, 게이트 밸브(23)의 개폐를 구동하는 게이트 밸브 구동부(28)로 구성되어 있다.
또한, 개구부(22)의 단면(측벽 표면)(22a) 상에는 피복된 금속 또는 세라믹으로 이루어지는 게이트 라이너(24)가 착탈 가능하도록 장착되어 있다. 이 게이트 라이너(24)는 금속이라면 표면이 알루마이트 처리된 알루미늄, 세라믹이라면 알루 미나가 고려되는데, 물론 이들에 한정되는 것은 아니다. 또한, 재료가 되는 금속이나 세라믹 중에는 방열성이나 전위 등의 관점에서 볼 때, 금속 쪽이 바람직하다. 또한, 게이트 라이너(24)의 표면을 연마 가공 처리하여 거친 표면 가공을 실시하여, 더욱 박리하기 어려운 처리를 실시해도 무방하다. 또한, 열 전도율이 나쁜 재료를 이용한 경우에는 히터 등을 부가해서, 게이트 라이너(24)의 온도를 플라즈마 분위기의 온도 상승에 맞춰 온도 관리하여, 불필요한 막이 부착되기 어렵고, 박리하기 어렵게 해도 무방하다.
이와 같이, 개구부(21a)는 게이트 라이너(24)에 의해 덮여 있으므로, 개구부면이 플라즈마 분위기에 직접 노출되지 않고, 플라즈마에 의한 손상을 방지할 수 있다. 또한, 게이트 라이너(24)는 개구부(21)에 대하여 착탈 가능하도록 고정하는 방법으로는, 예컨대 게이트 라이너(24)와 같은 재료에 의해 형성된 나사에 의해 라이너 표면이 평탄하게 되도록 나사 고정하여도 무방하고, 나사를 이용하지 않고 끼워 넣는 타입으로 해도 무방하다.
또한, 챔버(1)의 측벽(1b)의 바닥부 근방에는 배기 포트(25)가 설치되어 있고, 이 배기 포트(25)에는 배관을 거쳐서 배기 장치(26)가 접속되어 있다. 그리고 배기 장치(26)를 작동시킴으로써 챔버(1) 내부를 소정의 진공도까지 진공 배기하는 것이 가능하도록 되어 있다.
상기 파이프(9)와 챔버(1)의 바닥 벽(1c)은 슬라이드 콘택트(27)가 설치되어 전기적으로 접속되어 있다. 이 슬라이드 콘택트(27)에 의해 하부 전극(2)이 상하로 이동하더라도, 파이프(9)와 챔버(1)의 바닥 벽(1c)과의 콘택트를 유지할 수 있 다.
다음에, 이와 같이 구성된 플라즈마 처리 장치의 처리 동작에 대하여 설명한다.
우선, 도시하지 않은 승강 기구로 이동시켜 하부 전극(2)을 교환 위치에 설정하고, 게이트 밸브(23)를 열어, 반송 아암(30)에 의해 개구부(22)를 통해서 반도체 웨이퍼(W)를 챔버(1)내에 반입한다. 그리고 리프트 핀(29)을 이용하여 반도체 웨이퍼(W)를 하부 전극(2)상에 세트하고, 반송 아암(30)을 후퇴시켜, 게이트 밸브(23)를 닫는다. 이때, 웨이퍼(W)는 정전 척에 의해 하부 전극(2) 상에 흡착 유지된다.
그 후에, 승강 기구에 의해 하부 전극(2)을 상승시켜, 하부 전극(2)과 샤워 헤드(16)와의 갭을 소정의 길이로 한다. 이 상태에서, 냉매 유로(13)에 냉매를 흐르게 해서 하부 전극(2)을 소정의 온도로 제어함과 동시에 배기 장치(26)에 의해 챔버(1) 내를 배기하여 고진공 상태로 한다.
이어서, 프로세스 가스 공급원(20)에서 배관을 통해 소정의 프로세스 가스가 프로세스 가스 도입구(18)로부터 챔버(1) 내에 도입되고, 샤워 헤드(16)의 가스 배출구(17)로부터 반도체 웨이퍼(W)를 향해서 배출시킨다. 그 때, 배기 장치(26)에 의해 챔버(1) 내부를 수 10mTorr로 조정한다. 그리고 고주파 전원(11)으로부터 정합기(10) 및 전기 공급 막대(8)를 통해 소정의 주파수 및 전압의 고주파 전력을 하부 전극(2)에 인가한다. 이에 따라, 하부 전극(2)과 샤워 헤드(16) 사이의 공간에는 프로세스 가스의 플라즈마 분위기가 생성되어, 반도체 웨이퍼(W)에 대하여 소정의 플라즈마 처리가 실시된다.
이와 같이 플라즈마 처리를 실행할 때에는 플라즈마가 하부 전극(2)보다도 하측으로 흘러들어 게이트 공간의 개구부(22)도 플라즈마 분위기에 노출된다. 본 실시예에서는 개구부(22)를 덮도록 게이트 라이너(24)가 배치되어 있고, 불필요한 막은 게이트 라이너(24) 상에 부착한다. 따라서, 정비시에는 게이트 라이너(24)를 챔버(1)로부터 분리시켜 세정하면 불필요한 막의 제거를 용이하게 실행할 수 있다.
또한, 플라즈마 분위기에 의해 에칭 작용이 발생하더라도, 게이트 라이너(24)의 표면을 에칭할 뿐이기 때문에, 게이트 라이너(24)만을 교환하면 되어, 플라즈마 분위기에 의한 챔버(1)의 손상을 방지할 수 있다. 또한, 게이트 라이너(24)는 금속 또는 세라믹으로 형성되어 있기 때문에, 플라즈마에 대한 내성이 높고, 그 수명이 길다. 또한, 금속 및 세라믹은 용착에 대한 밀착성이 높기 때문에, 부착한 불필요 막이 벗겨지기 어렵게 되어, 박리에 의한 이물질 발생을 억제할 수 있다.
다음에, 본 발명의 제 2 실시예에 대하여 설명한다.
도 2는 본 발명의 제 2 실시예에 관한 플라즈마 처리 장치의 개략단면도이다. 이 실시예에 관한 플라즈마 처리 장치는, 챔버 게이트(21)에 게이트 라이너(24)를 구비하고 있지 않은 점 및 이하에 나타내는 챔버 게이트에 있어서의 게이트 종횡비를 규정하는 점 이외에는, 본 발명의 제 1 실시예에 관한 플라즈마 처리 장치와 동일한 장치 구성으로 되어 있다. 또한, 전술한 제 1 실시예에서는 승강시킨 하부 전극과 같은 위치에 배플판(5)을 설치하고 있었지만, 본 실시예에서 는 배기관(25)의 접속 부분에 설치하고 있다.
이하, 제 1 실시예와 장치 구성이 중복하는 부분에 대해서는 설명을 생략한다.
도 3에는 이 실시예에 있어서의 챔버 게이트(21)의 구성을 도시하고 있고, 챔버 게이트의 깊이[챔버(1)의 벽두께](a)와, 챔버 게이트의 챔버 내측 개구부에 있어서의 짧은 방향 길이(b)를 도시하고 있다.
이 실시예에서는 a/b에 의해 정해지는 비의 값(이하, 게이트 종횡비라고 칭함)을 애노드/캐소드 비에 따라 결정하도록 한다. 본 실시예는 애노드/캐소드 비에 근거하여, 게이트 공간에서 이상 방전이 발생하지 않는 게이트 종횡비의 범위를 구하는 것이다.
구체적으로는, 예컨대 아래와 같이 정할 수 있다.
우선, 이용하는 플라즈마 처리 장치의 애노드 영역과 캐소드 영역의 면적(용량) 비인 애노드/캐소드 비를 구한다. 이어서, 얻어진 애노드/캐소드 비의 값에 근거하여, 게이트 공간에서 이상 방전이 발생하지 않는 게이트 종횡비의 범위를 실험 또는 시뮬레이션에 의해 구하여, 게이트 종횡비의 값을 그 범위 내에서 결정한다. 또한, 애노드 영역은, 도 1에 도시하는 바와 같이 GND 전위의 챔버(1) 내 모든 영역[단, 샤워 헤드(16)의 가스 배출구(17)와 챔버(1)의 천정 벽(1a) 사이의 공간과, 벨로스 커버(7)로 둘러싸인 영역을 제외함)이며, 캐소드 영역은 하부 전극의 상면 부분의 고주파가 인가되는 영역이다. 예컨대, 도 6에 도시한 것과 같은 관계로부터, 게이트 종횡비를 결정한다. 도 6은 플라즈마 처리 장치에 있어서의 게이트 공간 이상 방전의 유무를 애노드/캐소드 비와 게이트 종횡비와의 관계에 근거하여 정리한 결과를, 플라즈마 처리에 이용하는 인가 전력의 주파수와 함께 도시한 도면이다.
도 6에 있어서, "NG"를 부여한 영역에서는 게이트 공간 이상 방전이 발생했지만, "OK"를 부여한 영역에서는 이상 방전이 발생하지 않았다. 도 6으로부터, 인가 전력의 주파수가 같은 장치에서는 고 애노드/캐소드 비·저 게이트 종횡비 측(도 6의 화살표 방향)에 있는 장치 쪽이 게이트 공간에 이상 방전이 일어나기 어려운 것을 알 수 있다.
이것으로 애노드/캐소드 비와 게이트 종횡비를 바람직한 범위로 조절하면, 게이트 공간에서의 이상 방전을 억제할 수 있는 것으로 고려된다. 구체적으로는, 도 6에 도시한 바와 같이 애노드/캐소드 비가 클수록, 또한 게이트 종횡비가 작을 수록(도면의 화살표 방향), 이상 방전이 발생하기 어렵게 된다.
여기서, 애노드/캐소드 비는 플라즈마 처리 장치에 의해 거의 고정된 값이며, 이값을 자유롭게 조정하는 것은 곤란하므로, 본 실시예에서는 장치의 애노드/캐소드비에 따라 게이트 종횡비를 게이트 공간에 이상 방전이 발생하지 않는 값으로 결정한다.
또한, 도 6에 도시한 바와 같이 통상의 플라즈마 처리 장치의 애노드/캐소드비의 범위에서는, 그 값에 관계없이 게이트 종횡비가 1.5 이하이면 이상 방전이 발생하지 않는다. 그러므로 게이트 종횡비를 1.5 이하로 함으로써 플라즈마 처리 장치의 이상 방전을 방지할 수 있다.
도 6에는 대표적인 예로서, 일반적으로 플라즈마 처리에 사용되는 13MHz 및 60MHz의 예를 도시하고 있다. 도 6의 종축 1.5 이하에서, 60MHz: OK 범위 내로 횡축(애노드/캐소드 비)이 18 내지 25의 범위에 있으면, 이상 방전이 발생하지 않는다.
이렇게 해서 결정된 게이트 종횡비가 되도록 챔버 게이트(21)를 구성하면, 게이트 공간에 발생하는 이상 방전을 억제할 수 있으므로, 반도체 웨이퍼(W)를 보다 균일하게 플라즈마 처리할 수 있다.
이상, 본 발명의 제 2 실시예에 관한 플라즈마 처리 장치에 대해서 설명했는데, 이 실시예와 제 1 실시예를 조합해도 무방하다. 즉, 전술한 바와 같이, 챔버 게이트에 게이트 라이너를 장착하고, 또한 게이트 공간에서의 게이트 종횡비를 정해서 이상 방전을 방지해도 무방하다.
도 4에는 게이트 라이너(24)를 배치한 챔버 게이트(21)의 구성을 도시하고, 챔버 게이트의 깊이(a), 챔버 게이트의 챔버(1) 내측의 개구부(22)에 있어서의 짧은 방향 길이(b)를 각각 도시하고 있다. 여기서, 게이트 라이너(24)를 배치한 경우의 게이트 종횡비(a/b)는 도 4에 도시한 a, b의 값으로 구하는 것으로 한다.
또한, 전술한 모든 실시예에 있어서도, 벨로스(6)의 신축에 대응하기 위해서 마련된 벨로스 커버(7)의 하부 부재(7a)와 상부 부재(7b)가 중첩되는 부분에는 미소한 간격이 있기 때문에, 그 사이에 글로 방전에 의한 이상 방전이 발생하여 상부 부재(7b)에 이상 마모가 발생하는 경우가 있다. 이것을 막기 위해서는 도 5에 도시한 바와 같이 바닥 벽(1c)의 하부 부재(7a) 외측에, 세라믹(A1203) 등으로 이루어지는 벨로스 링(31)을 배치하고, 하부 부재(7a)와 상부 부재(7b)가 중첩되는 부분을 커버하는 것이 유효하다.
이와 같이 벨로스 링(31)을 배치함으로써, 상부 부재(7b)와 하부 부재(7a)가 중첩되는 부분을 플라즈마로부터 격리할 수 있어, 글로 방전의 발생을 억제할 수 있다. 벨로스 링(31)은 그 높이를 되도록 높게 하여 상부 부재(7b)가 플라즈마에 노출되는 면적을 가능한 한 작게 하는 것이 바람직하다.
또한, 이상의 각 실시예에서는 하부 전극에 고주파 전력을 인가하여 플라즈마를 생성하고, 반도체 웨이퍼의 플라즈마 처리를 실행하는 장치에 대하여 설명했지만, 본 발명은 이러한 장치 구성에 한정되는 것이 아니라, 감압한 챔버 내부에 플라즈마를 발생시켜 피 처리체의 플라즈마 처리를 실행하는 장치로서, 피 처리체를 반입출하기 위한 챔버 게이트를 구비하고, 게이트 공간을 갖는 플라즈마 처리 장치 라면, 용이하게 적용 가능하다.
또한, 플라즈마 처리를 실시하는 피 처리체에 대해서도 반도체 웨이퍼에 한정되는 것이 아니라, 액정 표시 장치의 유리 기판 등 다른 것이라도 무방하다.
이상 설명한 바와 같이, 본 발명에 의하면, 챔버 게이트의 내측에 금속, 또는 세라믹으로 이루어지는 착탈이 가능한 게이트 라이너를 배치하고 있으므로, 예컨대 플라즈마 처리 중에 불필요 막의 퇴적이나 표면의 에칭 작용이 발생하더라도, 게이트 라이너를 분리하여, 세정하거나 교환함으로써 용이하게 정비할 수 있다.
따라서, 종래의 플라즈마 처리 장치에 비해서, 정비 작업이 용이하고, 장치 자체의 손상을 방지하여 장치의 수명도 길게 할 수 있다.
또한, 본 발명의 게이트 라이너는 금속 또는 세라믹이라는 불필요 막의 부착력이 강한 재료에 의해 형성되어 있기 때문에, 일단 부착한 불필요 막이 박리되기 어려워, 이물질의 발생을 억제할 수 있다.
또한, 본 발명에서는 챔버 게이트의 깊이와, 챔버 게이트의 챔버 내측 개구 부에서의 짧은 방향 길이의 비인 게이트 종횡비를 챔버 내에서의 애노드 부분의 면적과 캐소드 부분의 면적의 비인 애노드/캐소드 비에 따라 결정하거나, 혹은 게이트 종횡비를 1.5 이하로 함으로써, 게이트 공간의 이상 방전의 발생을 억제할 수 있어, 피 처리체에 균일한 플라즈마 처리를 실행할 수 있다.
본 발명에 의하면, 챔버 게이트의 내측에 금속, 또는 세라믹으로 이루어지는 착탈이 가능한 게이트 라이너를 배치하고 있으므로, 예컨대 플라즈마 처리 중에 불필요 막의 퇴적이나 표면의 에칭 작용이 발생하더라도, 게이트 라이너를 분리하여, 세정하거나 교환함으로써 용이하게 정비할 수 있다. 따라서, 종래의 플라즈마 처리 장치에 비해서 정비 작업이 용이하고, 장치 자체의 손상을 방지하여 장치의 수명도 길게 할 수 있다.

Claims (10)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 내부 공간을 진공 상태로 유지 가능하고, 피 처리체에 플라즈마 처리를 실시하기 위한 챔버와,
    상기 챔버의 내부 공간을 배기하여 진공 상태를 확립하는 배기 기구와,
    상기 챔버 내부에 프로세스 가스를 도입하는 가스 도입 기구와,
    피 처리체를 지지하는 하부 전극과,
    상기 하부 전극에 대향하여 배치된 상부 전극과,
    상기 챔버 외부에 배치되고, 상기 전극에 전력을 인가하여 상기 챔버 내부에 프로세스 가스의 플라즈마를 형성하는 전원과,
    상기 챔버에 배치된 피 처리체를 반입 및 반출하기 위해 챔버에 형성된 게이트 개구부와,
    이 게이트 개구부를 개폐시키는 게이트 밸브를 구비하고,
    상기 챔버 게이트의 개구의 깊이와 상기 게이트 개구부의 폭의 비인 게이트 종횡비가 최대 1.5이고, 상기 챔버 내에서의 애노드 부분의 면적과 캐소드 부분의 면적의 비인 애노드/캐소드 비가 18 내지 25의 범위 이내인
    플라즈마 처리 장치.
  6. 삭제
  7. 제 5 항에 있어서,
    상기 플라즈마 처리 장치는, 상기 게이트 개구부의 표면을 덮도록 착탈 가능하게 장착되고, 그리고 플라즈마 처리시에 플라즈마가 게이트의 개구부에 작용하는 것을 방지하는 역할을 하는 게이트 라이너를 구비하는
    플라즈마 처리 장치.
  8. 제 7 항에 있어서,
    상기 게이트 라이너는 금속으로 구성되는
    플라즈마 처리 장치.
  9. 제 7 항에 있어서,
    상기 게이트 라이너는 표면이 양극 처리된 알루미늄으로 형성된 알루미늄 판으로 구성되는
    플라즈마 처리 장치.
  10. 제 7 항에 있어서,
    상기 게이트 라이너는 세라믹 재료로 구성되는
    플라즈마 처리 장치.
KR1020000050635A 1999-09-02 2000-08-30 플라즈마 처리 장치 KR100624273B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP24829999A JP2001077088A (ja) 1999-09-02 1999-09-02 プラズマ処理装置
JP99-248299 1999-09-02

Publications (2)

Publication Number Publication Date
KR20010030159A KR20010030159A (ko) 2001-04-16
KR100624273B1 true KR100624273B1 (ko) 2006-09-13

Family

ID=17176024

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000050635A KR100624273B1 (ko) 1999-09-02 2000-08-30 플라즈마 처리 장치

Country Status (4)

Country Link
US (1) US6673196B1 (ko)
JP (1) JP2001077088A (ko)
KR (1) KR100624273B1 (ko)
TW (1) TWI223340B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101030926B1 (ko) * 2008-11-06 2011-04-27 주식회사 테스 기판 처리 장치

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270598A (ja) * 2001-03-13 2002-09-20 Tokyo Electron Ltd プラズマ処理装置
JP2002334866A (ja) * 2001-05-09 2002-11-22 Tokyo Electron Ltd 被覆剤及びそれを施した耐プラズマ性部品
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
JP4129855B2 (ja) * 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US20030200911A1 (en) * 2002-04-25 2003-10-30 Tatro Lee Dudley Self-activating boat trailer backing guide
JP3953361B2 (ja) * 2002-05-08 2007-08-08 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
JP4629545B2 (ja) * 2005-09-29 2011-02-09 株式会社日立ハイテクノロジーズ 真空処理装置
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP4838197B2 (ja) * 2007-06-05 2011-12-14 東京エレクトロン株式会社 プラズマ処理装置,電極温度調整装置,電極温度調整方法
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
JP2009163911A (ja) * 2007-12-28 2009-07-23 Hitachi High-Technologies Corp プラズマ処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5398358B2 (ja) 2009-05-29 2014-01-29 三菱重工業株式会社 基板支持台の構造及びプラズマ処理装置
JP5558035B2 (ja) * 2009-06-18 2014-07-23 三菱重工業株式会社 プラズマ処理装置及び方法
JP5302813B2 (ja) * 2009-07-28 2013-10-02 東京エレクトロン株式会社 堆積物対策用カバー及びプラズマ処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102013387B (zh) * 2009-09-04 2012-06-06 中芯国际集成电路制造(上海)有限公司 用于刻蚀设备的金属盘绕圈及其组装方法
CN102403181B (zh) * 2010-09-14 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 工艺腔室及应用该工艺腔室的等离子体处理设备
JP2011035415A (ja) * 2010-10-18 2011-02-17 Hitachi High-Technologies Corp 真空処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101208007B1 (ko) 2011-06-30 2012-12-04 엘아이지에이디피 주식회사 플라즈마 처리장치
KR101231048B1 (ko) 2011-06-30 2013-02-07 엘아이지에이디피 주식회사 플라즈마 처리장치
KR101254267B1 (ko) * 2011-06-30 2013-04-17 엘아이지에이디피 주식회사 플라즈마 처리장치
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI719473B (zh) * 2011-10-05 2021-02-21 美商應用材料股份有限公司 對稱電漿處理腔室
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103094166B (zh) * 2011-10-31 2015-04-15 北京北方微电子基地设备工艺研究中心有限责任公司 晶圆承载装置及具有它的半导体处理设备
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) * 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN105164307B (zh) * 2013-04-30 2017-06-27 东京毅力科创株式会社 成膜装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
WO2015023945A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6435090B2 (ja) * 2013-10-03 2018-12-05 東京エレクトロン株式会社 プラズマ処理装置
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN106206385A (zh) * 2016-09-27 2016-12-07 上海华力微电子有限公司 一种降低腔体内金属污染含量的多晶硅刻蚀腔及方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11670525B2 (en) 2018-04-20 2023-06-06 Applied Materials, Inc. Methods and apparatus for microwave leakage reduction for semiconductor process chambers
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11145517B2 (en) * 2018-10-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gas curtain for semiconductor manufacturing system
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111326387B (zh) 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326389B (zh) 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326382B (zh) * 2018-12-17 2023-07-18 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7101628B2 (ja) * 2019-02-04 2022-07-15 東京エレクトロン株式会社 プラズマ処理装置および電極構造体
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111105976B (zh) * 2019-12-24 2022-11-25 北京北方华创微电子装备有限公司 半导体设备反应腔室
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5044311A (en) * 1988-11-04 1991-09-03 Kabushiki Kaisha Toshiba Plasma chemical vapor deposition apparatus
KR960009047A (ko) * 1994-08-15 1996-03-22 제임스 조셉 드롱 벽의 부식에 대해 표면보호 수단을 가진 플라즈마 에칭 반응기
KR980011807A (ko) * 1996-07-09 1998-04-30 조셉 제이. 스위니 플라즈마 처리 반응기용 가스 분사 슬릿 노즐
KR19990002605U (ko) * 1997-06-27 1999-01-25 김영환 챔버 내벽 보호용 실린더

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2804762B2 (ja) 1988-07-19 1998-09-30 東京エレクトロン株式会社 プラズマ処理装置
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JPH07147247A (ja) 1993-11-26 1995-06-06 Tokyo Electron Ltd 処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5044311A (en) * 1988-11-04 1991-09-03 Kabushiki Kaisha Toshiba Plasma chemical vapor deposition apparatus
KR960009047A (ko) * 1994-08-15 1996-03-22 제임스 조셉 드롱 벽의 부식에 대해 표면보호 수단을 가진 플라즈마 에칭 반응기
KR980011807A (ko) * 1996-07-09 1998-04-30 조셉 제이. 스위니 플라즈마 처리 반응기용 가스 분사 슬릿 노즐
KR19990002605U (ko) * 1997-06-27 1999-01-25 김영환 챔버 내벽 보호용 실린더

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101030926B1 (ko) * 2008-11-06 2011-04-27 주식회사 테스 기판 처리 장치

Also Published As

Publication number Publication date
KR20010030159A (ko) 2001-04-16
JP2001077088A (ja) 2001-03-23
TWI223340B (en) 2004-11-01
US6673196B1 (en) 2004-01-06

Similar Documents

Publication Publication Date Title
KR100624273B1 (ko) 플라즈마 처리 장치
KR100345420B1 (ko) 플라즈마처리장치
JP5279656B2 (ja) プラズマ処理装置
US8124539B2 (en) Plasma processing apparatus, focus ring, and susceptor
US9275836B2 (en) Plasma processing apparatus and plasma processing method
JP3971603B2 (ja) 絶縁膜エッチング装置及び絶縁膜エッチング方法
KR100315088B1 (ko) 포커스 링을 갖는 반도체 웨이퍼 제조 장치
US8852386B2 (en) Plasma processing apparatus
KR100929448B1 (ko) 기판 유지 기구 및 플라즈마 처리 장치
KR102396430B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101850355B1 (ko) 플라즈마 처리 장치
KR20060108612A (ko) 개선된 포커스 링을 위한 방법 및 장치
WO2009084823A1 (en) Apparatus and method for processing substrate
US20070227033A1 (en) Substrate transferring apparatus, substrate processing apparatus, and substrate processing method
JP2007067455A (ja) 絶縁膜エッチング装置
JP3113796B2 (ja) プラズマ処理装置
JPH08339984A (ja) プラズマ処理装置
KR101892958B1 (ko) 플라즈마 처리 장치
US8974600B2 (en) Deposit protection cover and plasma processing apparatus
US20210335581A1 (en) Preclean chamber upper shield with showerhead
US20210343508A1 (en) Metal oxide preclean chamber with improved selectivity and flow conductance
US20210005477A1 (en) Substrate processing apparatus
US20070221332A1 (en) Plasma processing apparatus
KR20200115228A (ko) 플라즈마 처리 장치
KR100501618B1 (ko) 플라즈마 처리 장치 및 실드 링

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130822

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee