RU2295799C2 - Электростатический держатель для использования в вакуумной камере высокотемпературной обработки, способ обработки подложки и расширительный узел электростатического держателя - Google Patents

Электростатический держатель для использования в вакуумной камере высокотемпературной обработки, способ обработки подложки и расширительный узел электростатического держателя Download PDF

Info

Publication number
RU2295799C2
RU2295799C2 RU2004102206/28A RU2004102206A RU2295799C2 RU 2295799 C2 RU2295799 C2 RU 2295799C2 RU 2004102206/28 A RU2004102206/28 A RU 2004102206/28A RU 2004102206 A RU2004102206 A RU 2004102206A RU 2295799 C2 RU2295799 C2 RU 2295799C2
Authority
RU
Russia
Prior art keywords
holder
holder body
electrostatic
heat
substrate
Prior art date
Application number
RU2004102206/28A
Other languages
English (en)
Other versions
RU2004102206A (ru
Inventor
Грег СЕКСТОН (US)
Грег СЕКСТОН
Алан ШОЕПП (US)
Алан ШОЕПП
Марк Аллен КЕННАР (US)
Марк Аллен КЕННАР
Original Assignee
Лэм Рисерч Корпорейшн
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Лэм Рисерч Корпорейшн filed Critical Лэм Рисерч Корпорейшн
Publication of RU2004102206A publication Critical patent/RU2004102206A/ru
Application granted granted Critical
Publication of RU2295799C2 publication Critical patent/RU2295799C2/ru

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Abstract

Изобретение относится к электростатическому держателю, используемому для обработки подложек, таких как полупроводниковые пластины. Сущность изобретения: электростатический держатель для использования в вакуумной камере высокотемпературной обработки содержит корпус держателя, содержащий электростатический фиксирующий электрод и нагревательный элемент, при этом электрод выполнен с возможностью электростатического фиксирования подложки на наружной поверхности корпуса держателя, теплопередающий корпус, отделенный от корпуса держателя камерой повышенного давления, расположенной между находящимися на расстоянии друг от друга поверхностями корпуса держателя и теплопередающего корпуса, при этом теплопередающий корпус выполнен с возможностью отвода тепла от корпуса держателя через теплопроводный газ в камере повышенного давления, и прикрепленный съемно расширительный узел, соединяющий наружную периферию корпуса держателя с теплопередающим корпусом, при этом расширительный узел согласовывает различное тепловое расширение корпуса держателя и теплопередающего корпуса. Предложены также способ обработки подложки и расширительный узел электростатического держателя. Техническим результатом изобретения является создание держателя улучшенной конструкции, удовлетворяющего требованиям циклической тепловой нагрузки. 3 н. и 27 з.п. ф-лы, 6 ил.

Description

Область техники, к которой относится изобретение
Изобретение относится к электростатическому держателю, используемому для обработки подложек, таких как полупроводниковые пластины. Электростатический держатель можно использовать для опоры полупроводниковой подложки в плазменных реакционных камерах, в которых выполняются процессы травления или осаждения. Электростатический держатель особенно пригоден для высокотемпературного плазменного травления материалов, таких как платина, которая не испаряется при низких температурах.
Уровень техники
Вакуумные камеры обработки обычно используются для травления и химического осаждения из паровой фазы (CVD) материалов на подложки посредством подачи газа травления или осаждения в вакуумную камеру и воздействия на газ высокочастотным полем для возбуждения плазменного состояния газа. Примеры плазмы между параллельными пластинами с трансформаторной связью (TCP), которую называют также плазмой с индуктивной связью (ICP), и реакторов с электронно-циклотронным резонансом (ECR) раскрыты в патентах США №№4340462, 4948458 и 5200232. Вакуумные камеры обработки обычно выполняются для обеспечения рабочих параметров, которые зависят от процессов, выполняемых в них. Таким образом, конкретный источник генерирования плазмы, систему вакуумных насосов и опору для подложки, связанные с конкретной камерой обработки, необходимо выполнять отдельно или специально для соответствия рабочим параметрам.
Подложки обычно удерживают внутри вакуумной камеры во время обработки с помощью держателей подложки. Обычные держатели подложки включают механические зажимы и электростатические держатели (ESC). Примеры механических зажимов и электростатических держателей приведены в патентах США №№5262029, 5880922 и 5671116. Держатели подложки в виде электрода могут подводить высокочастотную энергию в камеру, как раскрыто в патенте США №4579618. В электростатических держателях монополярного типа используется единственный электрод. Например, смотри патент США №4665463. В электростатических держателях биполярного типа используют взаимное притяжение между двумя электрически заряженными электродами, которые разделены слоем диэлектрика. Например, смотри патенты США №№4692836 и 5055964.
Подложки, включающие плоские панельные дисплеи, и небольшие подложки можно охлаждать с помощью держателя подложки во время определенных стадий обработки. Такое охлаждение осуществляют посредством применения газа, такого как гелий, между держателем подложки и противоположной поверхностью подложки. Например, смотри патенты США №№5160152, 5238499, 5350479 и 5534816. Охлаждающий газ обычно подают в каналы или в сеть канавок в держателе подложки и прикладывают обратное давление к подложке.
Опоры для подложки для вакуумных камер обработки обычно устанавливают на нижней стенке камеры, что делает обслуживание и замену опоры подложки сложным и занимающим много времени. Примеры таких установленных на дне опор подложки можно найти в патентах США №№4340462, 4534816, 4579618, 4615755, 4948458, 5200232 и 5262029. Консольная опорная система описана в патентах США общего пользования №№5820723 и 5948704.
Высокотемпературные электростатические держатели, содержащие зажимные электроды и нагревательные элементы, предложены для использования в камерах для химического осаждения. Смотри, например, патенты США №№5730803, 5867359, 5908334 и 5968273 и публикацию европейского патента 628644 А2. Из них, в ЕР 628644 раскрыт корпус держателя из нитрида алюминия, имеющий высокочастотную металлическую электродную пластину, которая перфорирована отверстиями с образованием сетки и в которую заделан нагреватель, при этом корпус держателя опирается на алюминиевый цилиндр, так что наружная периферия корпуса держателя выступает за цилиндр. В патенте США 5730803 раскрыт корпус держателя из нитрида кремния или оксида алюминия, имеющий электрическую решетку нагревательной катушки из Mo, W, W-Mo и Mo, заделанную в него, при этом корпус держателя опирается на экранирующий тепло цилиндр, который окружает охлаждаемую водой охлаждающую пластину из Cu или Al, находящуюся в тепловом контакте с корпусом держателя с помощью термической смазки, которая обеспечивает различное расширение корпуса держателя и охлаждающей пластины. В патенте США 5867359 описан держатель, работающий при температурах порядка 500°C, при этом держатель содержит слои из сапфира (монолитный кристалл Al2O3), припаянные твердым припоем на противоположных сторонах электрода из ниобия, при этом весь узел припаян твердым припоем к металлической опорной пластине. В патенте США 5968273 описан держатель для использования при температурах выше 175°C, при этом держатель содержит полиимидные пленки на каждой стороне монополярного или биполярного электрода, при этом сама нижняя полиимидная пленка приклеена к плите из нержавеющей стали. В патенте 5968273 раскрыт слоистый корпус держателя, включающий верхний слой из нитрида алюминия, электрод, слой нитрида алюминия, металлическую пластину, нагреватель, металлическую пластину и алюминиевый композиционный материал, при этом корпус держателя опирается на цилиндр, так что наружная периферия корпуса держателя выступает за цилиндр.
В некоторых конструкциях электростатических держателей используют теплопроводный газ, такой как гелий, для увеличения передачи тепла между смежными поверхностями опоры подложки. Например, в патенте США №5155652 описан электростатический держатель, имеющий слои, включая верхний слой пиролизного нитрида бора или, предпочтительно, слой полиимида, оксида алюминия, кварца или алмаза, слой с электростатическим узором, состоящий из подложки из нитрида бора и проводящий узор из пиролизного графита на ней, нагревательный слой, состоящий из подложки из нитрида бора и проводящего узора пиролизного графита на ней, и основание для отвода тепла из KOVAR (сплав NiCoFe с 29% Ni, 17% Со и 55% Fe). Основание с отводом тепла включает каналы водяного охлаждения в нижней его части и камеры в его верхней поверхности, в которых можно поддерживать вакуум во время нагревания держателя или же их можно заполнять гелием для облегчения охлаждения подложки, опирающейся на держатель. В патенте США №5221403 описан опорный стол, состоящий из верхнего элемента, на который опирается подложка, и нижнего элемента, который содержит канал для жидкости для управления температурой подложки, при этом верхний элемент включает электростатический держатель, образованный медным электродом между листами полиимида, и зазор между контактными поверхностями верхнего и нижнего элементов, через который подается теплопроводный газ.
В патенте США №5835334 описан высокотемпературный держатель, в котором гелий вводится между контактными поверхностями нижнего алюминиевого электрода и электродным колпачком, который закреплен болтом на нижнем электроде, при этом электродный колпачок содержит анодированный алюминий или покрытый алмазом молибден. Защитное кольцо из оксида алюминия и уплотнения круглого сечения минимизируют утечку охлаждающего газа между электродным колпачком и нижним электродом. Электродный колпачок включает каналы для охлаждающей жидкости для циркуляции охлаждающей жидкости, такой как этиленгликоль, силиконовое масло, фторинерт или смеси воды с гликолем, а нижний электрод включает нагреватель для нагревания держателя до температур около 100-300°C. Для предотвращения растрескивания анодирования из-за разного теплового расширения, электродный колпачок поддерживают при температуре не выше 200°C. В случае электродного колпачка из покрытого алмазом молибдена держатель можно использовать при более высоких температурах.
В международной публикации WO 99/36956 описан процесс плазменного травления платинового электродного слоя, в котором подложку нагревают свыше 150°C и выполняют травление платинового слоя с помощью плазмы газа травления высокой плотности с индуктивной связью, содержащего хлор, аргон и, предпочтительно, BCl3, HBr или их смеси. В патенте США №5930639 описан также способ травления платины, в котором платина образует один электрод конденсатора с высокой диэлектрической постоянной, при этом травление платины выполняют с помощью кислородной плазмы.
Хотя предпринимались некоторые попытки создания улучшенной конструкции держателя для использования при высоких температурах, высокие температуры вызывают различные тепловые напряжения, которые ограничивают использование материалов с разными коэффициентами теплового расширения. Это особенно проблематично для сохранения герметичного уплотнения между керамическими материалами, такими как нитрид алюминия, и металлическими материалами, такими как нержавеющая сталь или алюминий. Таким образом, в уровне техники имеется потребность в держателях улучшенной конструкции, которые могут удовлетворять требованиям циклической тепловой нагрузки, предъявляемым к высокотемпературным материалам держателей. В частности, при использовании больших обрабатываемых заготовок для повышения производительности и для устройств с большим дисплеем, необходима конструкция, которая может выдерживать большое тепловое расширение.
Сущность изобретения
Изобретение обеспечивает создание опоры для подложки, пригодной для использования в вакуумной камере для высокотемпературной обработки. В предпочтительном варианте выполнения опора подложки является электростатическим держателем, содержащим корпус держателя, теплопередающий корпус и расширительный узел, который может образовывать наружный штенгель между ними. Корпус держателя содержит электростатический фиксирующий электрод и, предпочтительно, нагревательный элемент, при этом электрод выполнен с возможностью электростатического удерживания подложки, такой как полупроводниковая пластина, на наружной поверхности корпуса держателя. Теплопередающий корпус отделен от корпуса держателя камерой повышенного давления, расположенной между находящимися на расстоянии друг от друга поверхностями корпуса держателя и теплопередающего корпуса. Теплопередающий корпус выполнен с возможностью отвода тепла от корпуса держателя за счет теплопередачи через теплопроводный газ в камере повышенного давления.
Изобретение также обеспечивает создание расширительного узла для опоры подложки, который согласовывает различное тепловое расширение корпуса держателя и теплопередающего корпуса. Расширительный узел соединяет наружную периферию корпуса держателя с теплопроводящим корпусом. Согласно предпочтительному варианту выполнения, расширительный узел образует наружный штенгель, который в комбинации с корпусом держателя, внутренней трубчатой частью и теплопередающим корпусом окружает камеру повышенного давления. Вакуумное уплотнение между корпусом держателя и расширительным узлом сохраняет герметичное уплотнение камеры повышенного давления во время тепловой циклической нагрузки корпуса держателя. Уплотнение предпочтительно является сжимаемым металлическим уплотнением, наиболее предпочтительно в виде С-образного кольца.
Согласно предпочтительному варианту выполнения, теплопередающий корпус содержит охлаждающую плиту, содержащую, по меньшей мере, один канал для хладагента, в котором может циркулировать хладагент для поддерживания требуемой температуры корпуса держателя, а камера повышенного давления образует кольцевое пространство, проходящее, по меньшей мере, по 50% нижней стороны корпуса держателя. В этом варианте выполнения теплопередающий корпус содержит канал подачи газа, через который теплопроводный газ проходит в кольцевое пространство. Согласно предпочтительному варианту выполнения, корпус держателя содержит газовые каналы, проходящие между камерой повышенного давления и наружной поверхностью корпуса держателя. Газовые каналы могут быть предусмотрены в любом подходящем расположении. Например, если наружная часть корпуса держателя имеет тенденцию к более сильному нагреванию, чем его центральная часть, то газовые каналы могут быть расположены вблизи расширительного узла, так что теплопроводный газ проходит из камеры повышенного давления к нижней стороне наружной периферии подложки во время ее обработки. В предпочтительном варианте выполнения, подложку можно нагревать контролируемым образом свыше 80°C, более предпочтительно свыше около 200°C до около 350°C или выше. В предпочтительном варианте выполнения теплопередача между корпусом держателя и теплопередающим корпусом через расширительный узел ограничена тонкой кольцевой частью, действующей в качестве компенсирующего соединения и тепловой заслонки, так теплопередача модулируется регулированием давления теплопроводного газа в камере повышенного давления. В более предпочтительном варианте выполнения, непосредственная теплопередача из зоны высокой температуры, в которую входит корпус держателя, и зоны низкой температуры, включающую теплопередающий корпус (т.е. охлаждающую плиту), через тепловую заслонку расширительного узла ограничена так, что разница температур между наружной периферией корпуса держателя и внутренней частью корпуса держателя меньше 4-5°C, более предпочтительно менее 2°C.
Согласно предпочтительному варианту выполнения, корпус держателя содержит металлический материал, такой как алюминий или его сплав, или керамический материал, такой как нитрид алюминия. Можно использовать подъемные штифты для поднимания и опускания подложки. Например, теплопередающий корпус может содержать подъемные штифты, такие как приводимые в действие тросом подъемные штифты, установленные на нем, при этом подъемные штифты установлены с возможностью перемещения в направлении к корпусу держателя и от него, так что подъемные штифты проходят через отверстия в корпусе держателя для поднимания и опускания подложки на корпус держателя и с него.
Расширительный узел может содержать нижний монтажный фланец, выполненный с возможностью прикрепления к теплопередающему корпусу, и расширительное соединение, такое как гибкая металлическая часть, которая может также выполнять роль тепловой заслонки. Расширительное соединение может содержать внутреннюю кольцевую часть, соединенную с нижним фланцем с помощью изогнутой части и приваренную или припаянную твердым припоем к верхнему монтажному фланцу или наоборот. Корпус держателя может прикрепляться к верхнему монтажному фланцу расширительного узла с помощью зажимной системы, такой как непрерывное зажимное кольцо или секционированное кольцо. Между корпусом держателя и верхним монтажным фланцем предусмотрено герметичное уплотнение в виде уплотнительного элемента, такого как уплотнение в виде металлического С-образного кольца, сжимаемого между наружной периферийной нижней поверхностью корпуса держателя и верхней поверхностью верхнего монтажного фланца расширительного узла. Зажимное кольцо может быть выполнено из материала, выбранного с коэффициентом теплового расширения, согласованным с коэффициентом расширения корпуса держателя, для минимизации напряжения зажимного кольца во время циклической тепловой нагрузки корпуса держателя.
Корпус держателя может содержать также керамическую или металлическую трубчатую часть, выступающую из центральной части нижней стороны корпуса держателя, так что наружная поверхность трубчатой части образует стенку камеры повышенного давления, при этом трубчатая часть опирается с плавающим контактом с теплопроводящим корпусом на герметичное уплотнение между ними. Внутреннее пространство трубчатой части может включать источники питания, обеспечивающие подачу высокочастотной энергии и энергии постоянного тока к зажимному электроду и энергии переменного тока к нагревательному элементу и/или системе измерения температуры для регулирования температуры корпуса держателя.
Согласно одному варианту выполнения изобретения, держатель является сменным электростатическим держателем для вакуумной камеры обработки, при этом держатель содержит корпус держателя, имеющий электрический контакт, выполненный с возможностью соединения с источником электрической энергии, который снабжает электрод энергией, достаточной для электростатического удерживания подложки на наружной поверхности корпуса держателя. Согласно одному варианту выполнения, электростатический держатель содержит заменяемый расширительный узел и заменяемый корпус держателя, так что срок службы электростатического держателя можно продлить за счет экономически выгодного технического обслуживания. Для этого изобретение также предусматривает расширительный узел, выполненный с возможностью съемного крепления между корпусом держателя и теплопередающим корпусом, согласно данному изобретению.
Изобретение также обеспечивает создание способа обработки подложки в вакуумной камере обработки, при этом подложка электростатически удерживается на корпусе держателя. Способ содержит фиксирование подложки на наружной поверхности электростатического держателя, согласно данному изобретению, посредством подачи энергии на электрод, нагревания подложки с помощью нагревательного элемента в корпусе держателя, подачи теплопроводного газа в камеру повышенного давления, при этом газ проходит через отверстия в корпусе держателя в зазор между нижней стороной подложки и наружной поверхностью корпуса держателя, регулируя температуру корпуса держателя и подложки за счет теплопередачи через теплопроводный газ, подаваемый в камеру повышенного давления, и обработку подложки.
Согласно предпочтительному варианту выполнения, способ дополнительно содержит подачу технологического газа в камеру и возбуждение технологического газа с образованием плазмы и травление открытой поверхности подложки с помощью плазмы во время стадии обработки. Однако открытую поверхность подложки можно снабжать покрытием во время стадии обработки. Технологический газ можно возбуждать с образованием плазмы за счет подачи энергии с помощью любой подходящей технологии, такой как подача высокочастотной энергии в антенну, которая индуктивно вводит высокочастотную энергию в камеру. Во время стадии обработки подложку можно нагревать посредством подачи питания в нагревательный элемент, заделанный в корпус держателя. Перед фиксированием подложки, подложку можно опускать на наружную поверхность корпуса держателя с помощью подъемных штифтов, установленных на теплопроводящем корпусе, при этом штифты проходят через отверстия в наружной части корпуса держателя. Для отвода тепла от корпуса держателя способ может включать циркуляцию охлаждающей жидкости в теплопроводящем корпусе. За изменениями температуры в подложке можно следить с помощью температурного датчика, опирающегося на теплопроводящий корпус и проходящего через отверстие в корпусе держателя. В случае плазменного травления слоя платины во время стадии обработки подложку можно нагревать до температуры свыше 200°C.
Согласно способу, можно обеспечивать желаемое распределение тепла в корпусе держателя посредством отвода тепла от корпуса держателя по нескольким путям прохождения тепла. Кроме того, можно регулировать количество тепла, отводимого от корпуса держателя по многочисленным путям прохождения тепла. Кроме того, можно регулировать количество тепла, отводимого по этим путям прохождения тепла, посредством изменения давления теплопроводного газа в камере повышенного давления. Например, поскольку керамический или металлический трубчатый выступ в центральной части нижней стороны корпуса держателя проводит тепло от корпуса держателя к теплопроводящему корпусу, то способ может включать регулирование давления теплопроводного газа в камере повышенного давления, так что тепло, отводимое по первому пути прохождения тепла, создаваемому теплопроводным газом в камере повышенного давления, уравновешивает тепло, отводимое по второму пути прохождения тепла, создаваемому наружным штенгелем, и тепло, отводимое по третьему пути прохождения тепла, создаваемому центральным трубчатым выступом.
Краткое описание чертежей
Ниже приводится подробное описание изобретения со ссылками на чертежи, на которых аналогичные элементы обозначены одинаковыми позициями и на которых:
фиг.1 изображает разрез вакуумной камеры обработки, в которой можно использовать узел высокотемпературного электростатического держателя, согласно данному изобретению;
фиг.2 - разрез другой вакуумной камеры обработки, в которой можно использовать узел высокотемпературного электростатического держателя, согласно данному изобретению;
фиг.3 - консольная опора подложки, согласно фиг.2, в изометрической проекции;
фиг.4 - разрез узла высокотемпературного электростатического держателя, согласно одному варианту выполнения данного изобретения;
фиг.5 - часть показанного на фиг.4 узла высокотемпературного электростатического держателя, в увеличенном масштабе;
фиг.6 - высокотемпературный электростатический держатель, согласно фиг.4, в изометрической проекции, в разрезе.
Подробное описание предпочтительных вариантов выполнения
Изобретение обеспечивает опору для подложки для использования в вакуумной камере высокотемпературной обработки. В предпочтительном варианте выполнения опора является электростатическим держателем, используемым для удерживания подложек, таких как полупроводниковые пластины, во время их обработки в вакуумной камере обработки, такой как реактор для плазменного травления. Однако электростатический держатель можно использовать для других целей, таких как удерживание подложек во время химического осаждения из паровой фазы, напыления, ионной имплантации, удаления резиста и т.д.
Согласно предпочтительному варианту выполнения изобретения, держатель содержит удерживающий электрод и, предпочтительно, нагревательный элемент, который можно использовать для поддерживания подложки, опирающейся на держатель, при повышенной температуре свыше 80°C (верхний предел для некоторых обычных держателей равен 60°C), предпочтительно свыше 200°C, например от 250 до 500°C. Например, держатель можно использовать для опоры пластины во время химического осаждения из паровой фазы или плазменного травления материалов, при этом необходимо нагревать подложку до температур порядка около 150°C и выше.
Для обеспечения таких высоких температур без повреждения держателя изобретение обеспечивает расширительный узел для электростатического держателя. Конструкция держателя и, в частности, расширительного узла обеспечивает возможность работы держателя при высоких температурах при небольших размерах.
Согласно предпочтительному варианту выполнения, расширительный узел образует наружный штенгель, который формирует камеру повышенного давления между находящимися на расстоянии друг от друга поверхностями активно нагреваемой части держателя и активно охлаждаемой частью держателя. Камера повышенного давления наполнена теплопроводным газом для обеспечения передачи тепла от нагреваемой части к охлаждаемой части держателя. Герметичное уплотнение между расширительным узлом и корпусом держателя поддерживается с помощью металлического уплотнения, такого как уплотнение в виде С-образного кольца. Благодаря этой системе отпадает необходимость в использовании каких-либо эластомерных уплотнений в нагреваемой части держателя, что позволяет нагреваемой части держателя работать при температурах, при которых эластомерные уплотнители разрушаются. Кроме того, за счет камеры повышенного давления и элемента тепловой заслонки расширительного узла можно поддерживать достаточно низкой температуру охлаждающей плиты для обеспечения возможности использования дешевых эластомерных уплотнений в контакте с поверхностями расширительного узла и охлаждающей плиты. Кроме того, конструкция расширительного узла обеспечивает небольшую общую высоту держателя, что делает держатель совместимым с требованиями тесной упаковки системы. Другое преимущество расширительного узла состоит в том, что тепловые напряжения могут быть согласованы между нагреваемой и охлаждаемой частями держателя. Другое преимущество состоит в том, что держатель, согласно изобретению, можно увеличивать в размере для размещения рабочих деталей более 200 мм, например, пластины с размером 300 мм. Дополнительно к этому теплопроводный газ, такой как гелий, можно подавать целенаправленно в места на нижней стороне подложки без необходимости обеспечения сложной системы газовых каналов внутри держателя.
Согласно предпочтительному способу использования держателя, согласно изобретению, продукт травления с низкой летучестью можно удалять с подложки с помощью процесса плазменного травления, при этом подложка нагревается держателем. Такие продукты травления с низкой летучестью могут образовываться во время плазменного травления благородных металлов, таких как Pt, Pd, Ru и Ir, и соединений, таких как ZrO2 и HfO2, материалов, используемых в качестве электродов конденсаторов или транзисторов с использованием материалов с высокой диэлектрической постоянной. Такие продукты травления с низкой летучестью остаются на поверхности подложки, если подложка недостаточно нагрета. Например, хлорид платины, образованный во время травления, может испаряться при давлении в несколько миллиторр при нагревании подложки до около 200°С. Обычные держатели, используемые в процессах травления при низкой температуре, являются непригодными для таких высокотемпературных условий, поскольку они могут повреждаться при тепловых циклических нагрузках, при которых разрываются герметичные уплотнения и/или выходят из строя материалы держателя. Кроме того, поскольку охлаждаемые водой части таких обычных держателей находятся в непосредственном тепловом контакте с нагреваемой частью держателя, то тепло от держателя может приводить к закипанию охлаждающей жидкости и обуславливать неравномерное охлаждение держателя и/или недостаточное охлаждение держателя. Держатель, согласно данному изобретению, устраняет эти проблемы за счет использования конструкции расширительного узла.
Согласно предпочтительному варианту выполнения, корпус держателя выполнен из металлического или керамического материала, имеющего требуемые электрические и/или тепловые свойства. Например, корпус держателя может быть изготовлен из алюминия или алюминиевого сплава.
В качестве альтернативного решения, корпус держателя может быть изготовлен из одного или более керамических материалов, включая нитриды, такие как нитрид алюминия, нитрид бора или нитрид кремния, карбиды, такие как карбид кремния и карбид бора, оксиды, такие как оксид алюминия, и т.д., с или без наполнителей, таких как частицы в виде нитевидных кристаллов, волокон или т.п., или инфильтрированных металлов, таких как силикон. Керамический корпус держателя можно изготавливать с помощью различных технологий. Например, керамический материал можно формировать в монолитный корпус с помощью порошковой металлургической технологии, в которой керамический порошок формируют в виде корпуса держателя с помощью прессования или шликерного литья порошка с удерживающим электродом, нагревателем и соединениями для подвода электрического питания, заделанными в него, при этом корпус держателя уплотняют посредством спекания порошка. В качестве альтернативного решения, корпус держателя может быть изготовлен из листов керамического материала, на которые накладываются электрически проводящие рисунки для удерживающего электрода, нагревателя и подводы электрического питания, включенные в них, при этом слои совместно обжигают для формирования конечного корпуса держателя.
Ниже приводится описание примера выполнения узла высокотемпературного электростатического держателя, согласно изобретению, со ссылками на фиг.1-6. Узел высокотемпературного электростатического держателя обеспечивает такие преимущества, как способность работать при высоких температурах, относительно низкое потребление энергии, более продолжительный срок службы, простое охлаждение задней стороны, более низкую стоимость изготовления и компактность конструкции, и возможность увеличения для больших обрабатываемых деталей.
Высокотемпературный электростатический держатель, согласно изобретению, может лучше обеспечивать способность работы при высокой температуре и относительно меньшее потребление энергии по сравнению с обычными узлами держателя, в которых охлаждающая плита интегрирована в выполненный в виде единого целого электростатический держатель. В таких обычных системах держателя максимальная рабочая температура ограничена примерно до 60°С. Для повышения максимальной рабочей температуры высокотемпературный электростатический держатель, согласно данному изобретению, выполнен в виде состоящего из двух частей узла, включая часть электростатического держателя, такую как керамический корпус держателя, имеющий электростатический удерживающий электрод, заделанный в него, и теплопередающий корпус, такой как охлаждающая плита. Дополнительно к этому в держатель интегрирован расширительный узел, предпочтительно содержащий тонкую отклоняемую кольцевую часть, которая выполняет роль тепловой заслонки, для тепловой изоляции части электростатического держателя от охлаждающей плиты. Часть тепловой заслонки значительно понижает проводимость тепла от кромки части электростатического держателя к охлаждающей плите, что позволяет повышать температуру части электростатического держателя до примерно 350°C или выше без необходимости дополнительного подвода относительно большого количества энергии к нагревательному элементу, заделанному в корпус держателя.
Расширительный узел обеспечивает продолжительный срок службы высокотемпературного электростатического держателя. В частности, за счет использования расширительного узла, часть электростатического держателя может подвергаться значительному тепловому расширению без повреждения других частей высокотемпературного электростатического держателя. Сменное уплотнение между электростатическим держателем и расширительным узлом дополнительно продлевает срок службы узла высокотемпературного электростатического держателя. Расширительный узел может быть выполнен как узел, состоящий из одной детали или из нескольких деталей, сваренных или спаянных твердым припоем, содержащий тонкостенную кольцевую часть, позволяющую части электростатического держателя расширяться и сужаться под действием тепла при одновременной минимизации теплопроводности от части электростатического держателя к охлаждающей плите. Тонкостенная кольцевая часть тепловой заслонки согласовывает различное тепловое расширение части электростатического держателя и охлаждающей плиты, минимизируя тем самым напряжения внутри узла высокотемпературного электростатического держателя и снижая тем самым вероятность преждевременного выхода из строя узла высокотемпературного электростатического держателя. Кроме того, часть тепловой заслонки может быть выполнена с возможностью уменьшения напряжения в паяных швах внутри узла высокотемпературного электростатического держателя.
По сравнению с обычными узлами держателя, которые основываются на сложных системах распределения газа внутри части электростатического держателя для адекватного охлаждения подложки, высокотемпературный электростатический держатель, согласно данному изобретению, может содержать простую систему, которая избирательно выбирает части подложки, где желательно усиленное охлаждение. Например, узел высокотемпературного электростатического держателя содержит камеру повышенного давления между частью электростатического держателя и охлаждающей плитой, и часть электростатического держателя можно охлаждать с помощью теплопроводного газа, подаваемого в камеру повышенного давления, и можно управлять температурой подложки посредством подачи теплопроводного газа к выбранным частям подложки через каналы, проходящие от камеры повышенного давления к наружной поверхности части электростатического держателя. В высокотемпературном электростатическом держателе, используемом для плазменного травления, отверстия распределения газа могут быть предусмотрены вблизи наружной периферии части электростатического держателя для улучшения охлаждения наружной части подложки. Таким образом, нет необходимости в сложной системе распределения газа, поскольку отверстия распределения газа могут быть выполнены в желаемых местах в опорной поверхности части электростатического держателя.
По сравнению с другими узлами высокотемпературных держателей, использование расширительного узла в высокотемпературном электростатическом держателе, согласно данному изобретению, может снижать стоимость изготовления и/или упрощать изготовление высокотемпературного электростатического держателя. В частности, поскольку элемент тепловой заслонки термически изолирует горячую часть электростатического держателя от охлаждающей плиты, то можно использовать стандартные эластомерные уплотнители низкой стоимости в местах контакта с охлаждающей плитой. Кроме того, установленный съемно расширительный узел обеспечивает замену высокотемпературного герметичного уплотнительного элемента между расширяющимся соединением и корпусом электростатического держателя.
Высокотемпературный электростатический держатель, согласно данному изобретению, выполнен с возможностью обеспечения небольшой полной высоты, так что его можно использовать в вакуумных камерах, в которых держатель опирается на консольный опорный рычаг. Например, на фиг.1-3 показаны примеры выполнения вакуумных камер 10, 24 обработки, в которых можно устанавливать узел высокотемпературного электростатического держателя, согласно данному изобретению. Хотя ниже приводится пояснение изобретения со ссылками на камеру с конструкцией, показанной на фиг.1-3, для специалистов в данной области техники понятно, что узел высокотемпературного электростатического держателя, согласно данному изобретению, можно использовать в любой вакуумной камере обработки, в которой желательно электростатическим способом удерживать подложку. Например, узел высокотемпературного электростатического держателя, согласно данному изобретению, можно использовать в качестве части опоры подложки в камерах обработки, где можно выполнять различные стадии плазменной и не плазменной обработки полупроводников, такой как травление, осаждение, удаление резиста и т.д.
Как показано на фиг.1, вакуумная камера 10 содержит консольную опору 12 подложки, проходящую внутрь от боковой стенки камеры, и высокотемпературный электростатический держатель 14, опирающийся на опору 12. Канал 18 технического обслуживания, содержащий служебные проводники (не изображены), входит во внутреннее пространство опоры 12. Служебные проводники можно использовать для технического обслуживания высокотемпературного электростатического держателя, например, для подвода энергии постоянного тока к удерживающему электроду, подвода высокочастотной энергии к удерживающему электроду или к отдельному электроду, который обеспечивает высокочастотное напряжение смещения подложки во время ее обработки, подвода энергии переменного тока к нагревательному элементу, размещения кабелей для приведения в действие подъемных штифтов, подачи хладагента для охлаждения высокотемпературного электростатического держателя и/или подложки, передачи электрических сигналов от датчиков или контрольного оборудования и т.д.
В показанном варианте выполнения, монтажный фланец 20 и опорный рычаг 22 образуют одну целую деталь, которую можно съемно устанавливать в отверстии в камере, например, с помощью механических креплений с кольцом круглого сечения и высокочастотным экраном, расположенными между противоположными поверхностями фланца 20 и камеры. В показанной на фиг.1 системе газ из камеры можно удалять через отверстие 21 с помощью вакуумного насоса 23. Плазму можно создавать в камере с помощью источника энергии (не изображен), установленного на верху камеры. То есть верх камеры выполнен с возможностью опоры различных типов источников генерирования плазмы, таких как устройства генерирования плазмы с емкостной связью, индуктивной связью, микроволновых, магнетронных, спиральных или других подходящих устройств. Кроме того, можно подавать технологический газ в камеру с помощью систем подачи газа различного типа, таких как газораспределительная пластина (сетка), или одно или более газовых колец и/или газовых инжекторов, или другие устройства.
На фиг.2 показана вакуумная камера 24 обработки и консольная опора 26 для подложки, на которой установлен узел 28 держателя. Как показано на фиг.2, подложка 30 опирается на узел 28 высокотемпературного электростатического держателя, установленный на опоре 26 для подложки. Опора 26 для подложки находится на одном конце опорного рычага 32 (показан на фиг.3), установленного в виде консоли, так что весь узел 26/32 опоры для подложки/опорного рычага можно удалять из камеры посредством пропускания узла через отверстие (не изображено) в боковой стенке камеры 26. Технологический газ можно подавать в камеру с помощью любой подходящей системы, такой как трубка 34 подачи газа или газораспределительная пластина, и газ можно возбуждать с приведением его в плазменное состояние с помощью антенны 38, такой как плоская катушка, которая индуктивно вводит высокочастотную энергию через диэлектрический элемент 40. В антенну высокочастотная энергия может подаваться с помощью любой подходящей системы, такой как обычный высокочастотный генератор 42 и согласующая сеть 44. Во время обработки пластины, теплопроводный газ, такой как гелий, можно подавать на заднюю сторону пластины через отверстия 46, как показано на фиг.3.
В показанных на фиг.1-3 камерах желательно минимизировать высоту высокотемпературного электростатического держателя для обеспечения простого извлечения опоры 26 подложки, включая высокотемпературный электростатический держатель, из камер 10, 24. Ниже приводится описание деталей примера выполнения высокотемпературного электростатического держателя, согласно данному изобретению, которые обеспечивают продолжительный срок службы, экономичность изготовления, техническое обслуживание и работу при компактной конструкции, со ссылками на варианты выполнения, показанные на фиг.4-6.
На фиг.4 показан узел 50 высокотемпературного электростатического держателя, согласно первому варианту выполнения изобретения, в котором узел 50 высокотемпературного электростатического держателя установлен на консольной опоре для подложки в вакуумной камере обработки, как было описано выше применительно к фиг.1-3. На фиг.6 показан высокотемпературный электростатический держатель 50 в изометрической проекции с разрезом. Блок 50 высокотемпературного электростатического держателя состоит из двух частей, включая корпус 56 держателя и теплопередающий корпус 58. Корпус 56 держателя содержит удерживающий электрод 60, предпочтительно, нагревательный элемент 62 и центральный трубчатый выступ 66. Расширительный узел 64, который может служить в качестве тепловой заслонки и который образует наружный штенгель, содержит нижний кольцевой монтажный фланец 68, который разъемно соединен с теплопередающим корпусом 58 болтами (не изображены) и центрирован с помощью штифтов 70. Верхний кольцевой монтажный фланец 124 разъемно соединен болтами 126 с кольцом 125, которое служит для прижимания корпуса 56 держателя к верхнему монтажному фланцу 124. Корпус 56 держателя предпочтительно выполнен из керамического материала, имеющего диэлектрические свойства, такого как нитрид алюминия. Расширительный узел 64 и теплопередающий корпус 58 могут быть изготовлены из теплопроводного металла, такого как алюминий, медь, титан или их сплавы. Однако предпочтительным материалом является теплопроводный металл, такой как нержавеющая сталь, кобальт, никель, молибден, цирконий или их сплавы. В качестве альтернативного решения, расширительный узел 64 и теплопередающий корпус могут быть изготовлены из любых материалов, совместимых с вакуумной камерой, в которой происходит обработка полупроводниковых подложек.
Теплопередающий корпус 58 содержит каналы 72 для хладагента, и хладагент, такой как вода или другой хладагент, можно подавать в каналы 72 с помощью подходящих магистралей. Электрическую энергию можно подавать в удерживающий электрод 60 и нагревательный элемент 62 с помощью линий подвода энергии в трубчатом выступе 66. Например, высокочастотную энергию и энергию постоянного тока можно подавать в удерживающий электрод с помощью стержня 67, нижняя часть которого соединена с шиной 69. Температуру корпуса держателя можно регулировать с помощью узла 71 температурной обратной связи в трубчатом выступе 66.
Камера 80 повышенного давления предусмотрена между расположенными на расстоянии друг от друга поверхностями 82 и 84 корпуса 56 держателя и теплопередающего корпуса 58. Теплопроводный газ, такой как гелий, можно подавать в камеру 80 повышенного давления с помощью газовой трубы 76. Температуру подложки на корпусе держателя можно контролировать с помощью оптоволоконного элемента 77, установленного в фитинге 78. Хотя можно использовать любой тип узла подъемных штифтов, такой как пневматический узел подъемных штифтов, согласно предпочтительному варианту выполнения, можно поднимать и опускать подложку с помощью приводимых в действие через трос подъемных штифтов, каждый из которых может содержать фитинг, установленный в отверстии 79, и приводимый в действие с помощью троса штифт. Эластомерное уплотнение 88, установленное в канавке в теплопередающем корпусе 58, обеспечивает герметичное уплотнение между расширительным узлом 64 и теплопередающим корпусом 58. Эластомерное уплотнение 92 обеспечивает герметичное уплотнение между нижней стороной теплопередающего корпуса 58 и диэлектрической монтажной плитой 94, а Эластомерное уплотнение 96 обеспечивает вакуумное уплотнение между нижней стороной монтажной плиты 94 и корпусом 54 опоры для подложки. Диэлектрическое краевое кольцо 98 (например, из оксида алюминия, нитрида кремния, кварца и т.д.) лежит на монтажной плите 94, а диэлектрическое фокусирующее кольцо 100 (например, из оксида алюминия, нитрида кремния, карбида кремния и т.д.) лежит на кромочном кольце 98 и окружает корпус 56 держателя. На фиг.5 показаны детали корпуса 56 держателя с прикрепленным к нему расширительным узлом 64. Как показано на фиг.5, расширительный узел 64 содержит нижний монтажный фланец 68, внутреннюю кольцевую часть 102, выполняющую роль расширительного соединения и тепловой заслонки, верхний монтажный фланец 124, и сварной или паяный твердым припоем шов 104. Внутренняя кольцевая разделительная часть 102 соединена с нижним фланцем 68 с помощью изогнутой части 101 и с верхним монтажным фланцем 124 с помощью шва 104. Внутренняя кольцевая часть 102 отделена от фланца 68 кольцевым пространством 108. Фланец 68 и внутренняя кольцевая часть могут быть выполнены (например, с помощью машинной обработки, литья, ковки и т.д.) из одной заготовки металла, например из нержавеющей стали.
Корпус 56 держателя прижимается к верхнему монтажному фланцу 124 зажимной системой, такой как кольцо 125, которое разъемно закреплено на верхнем монтажном фланце с помощью болтов 126. Небольшой зазор имеется между верхней поверхностью 120 верхнего монтажного фланца 124 и нижней поверхностью 122 корпуса 56 держателя. С-образное кольцо 112, расположенное между поверхностью 121 верхнего монтажного фланца и нижней поверхностью 122 корпуса 56 держателя, сохраняет герметичность камеры 80 повышенного давления.
Когда корпус 56 держателя нагревается и расширяется, наружный диаметр корпуса 56 держателя прижимается к зажимному кольцу 125 и упруго отклоняет расширительное соединение 104. Изогнутая часть 101 и внутренняя кольцевая часть 102 претерпевают упругую деформацию для согласования теплового расширения и сжатия корпуса 56 держателя. Таким образом, минимизируется механическое напряжение в корпусе 56 держателя. Зажимное кольцо 125 может быть выполнено из материала, согласованного по тепловому расширению с корпусом 56 держателя.
Подложку можно поднимать и опускать с помощью любой системы подъемных штифтов, такой как узел пневматически приводимых в действие подъемных штифтов или узла, приводимого в действие с помощью троса, описанного выше. Например, узел подъемных штифтов может содержать несколько подъемных штифтов 132, каждый из которых можно поднимать или опускать с помощью троса (не изображен), соединенного с опорой подъемных штифтов в корпусе. Корпус вставлен в отверстие 79 для обеспечения герметичного уплотнения. Дополнительное описание таких приводимых в действие тросом подъемных штифтов содержится в патенте США №5796066. Отверстие 136 для подъемного штифта имеет размер, обеспечивающий перемещение подъемного штифта 132, и прохождение теплопроводного газа в камеру 80 повышенного давления вокруг подъемного штифта 132 к нижней стороне подложки, расположенной над корпусом 56 держателя.
Теплопроводной газ можно подавать в камеру 80 повышенного давления через газовый канал 76, и удерживать газ в камере повышенного давления с любым подходящим давлением, таким как 2-20 торр. В зависимости от размера подложки можно использовать 3 или более подъемных штифта 132 для подъема и опускания подложки. Как показано на фиг.4 и 5, могут быть предусмотрены дополнительные отверстия 46 для равномерного распределения газа вокруг кромки подложки. Кроме того, отверстия могут входить в плоскую канавку (не изображена) на верхней поверхности корпуса держателя для облегчения распределения газа под подложкой. Для подвода энергии к удерживающему электроду и нагревательному элементу могут быть предусмотрены средства подвода энергии во внутреннем пространстве трубчатого выступа 66. Кроме того, одно из средств подвода энергии можно использовать для передачи электрических сигналов в датчик 71 температуры корпуса держателя и датчик температуры подложки (не изображен), установленные в отверстии 77.
Тонкое поперечное сечение внутренней кольцевой части 102 расширительного узла обеспечивает тепловую изоляцию корпуса держателя от остальной части узла высокотемпературного электростатического держателя. За счет тепловой изоляции корпуса держателя и тем самым минимизации потерь тепла вследствие теплопередачи от корпуса держателя, корпус держателя способен достигать температур вплоть до около 350°С или выше без необходимости затраты относительно большого количества электрической энергии. Дополнительно к этому форма внутреннего кольцевого расширительного соединения и тепловой заслонки 102 и изогнутой части 101 обеспечивает расширение и сокращение соединения в результате циклической тепловой нагрузки во время обработки подложки. В соответствии с этим, поскольку тепловые напряжения в сварочных или паяных швах узла высокотемпературного электростатического держателя являются минимальными, то обеспечивается продолжительный срок службы высокотемпературного электростатического держателя.
За счет тепловой изоляции корпуса держателя от остальной части узла высокотемпературного электростатического держателя можно использовать стандартные эластомерные материалы низкой стоимости для выполнения герметичных уплотнений с теплопередающим корпусом. Такие герметичные уплотнения можно выполнять из материала низкой стоимости, такого как VITON. Корпус держателя можно изготавливать из совместно обжигаемых слоев керамического материала и металлизированных слоев. Например, в патенте США №5880922 описана подходящая технология для изготовления керамического корпуса держателя. Например, слои могут включать проводящие слои, образующие монополярный или биполярный электрод (который служит также в качестве электрода радиочастотного смещения), расположенный между керамическими слоями. Нагревательный элемент, такой как один или более спиральных резистивных нагревательных элементов, может быть расположен между дополнительными керамическими слоями. В корпус держателя могут быть включены также различные проводящие вводы для подачи энергии к удерживающему электроду и нагревательному элементу.
Хотя изобретение подробно описано применительно к его предпочтительным вариантам выполнения, для специалистов в данной области техники очевидно, что можно выполнять различные изменения и использовать различные эквиваленты без отхода от объема изобретения.

Claims (30)

1. Электростатический держатель для использования в вакуумной камере высокотемпературной обработки, содержащий корпус держателя, содержащий электростатический фиксирующий электрод и, предпочтительно, нагревательный элемент, при этом электрод выполнен с возможностью электростатического фиксирования подложки на наружной поверхности корпуса держателя, теплопередающий корпус, отделенный от корпуса держателя камерой повышенного давления, расположенной между находящимися на расстоянии друг от друга поверхностями корпуса держателя и теплопередающего корпуса, при этом теплопередающий корпус выполнен с возможностью отвода тепла от корпуса держателя через теплопроводный газ в камере повышенного давления, и прикрепленный съемно расширительный узел, соединяющий наружную периферию корпуса держателя с теплопередающим корпусом, при этом расширительный узел согласовывает различное тепловое расширение корпуса держателя и теплопередающего корпуса и имеет разъемное крепление к корпусу держателя.
2. Электростатический держатель по п.1, отличающийся тем, что расширительный узел содержит деформируемую кольцевую часть.
3. Электростатический держатель по п.2, отличающийся тем, что деформируемая кольцевая часть ограничивает непосредственную передачу тепла между корпусом держателя и теплопередающим корпусом, действуя тем самым в качестве тепловой заслонки.
4. Электростатический держатель по п.2, отличающийся тем, что расширительный узел дополнительно содержит первый и второй опорные фланцы и деформируемая кольцевая часть соединена с одним из опорных фланцев с помощью изогнутой части.
5. Электростатический держатель по п.2, отличающийся тем, что расширительный узел дополнительно содержит первый и второй опорные фланцы, при этом деформируемая кольцевая часть соединена с одним из опорных фланцев с помощью сварки или пайки.
6. Электростатический держатель по п.1, отличающийся тем, что дополнительно содержит зажимное средство, разъемно соединяющее корпус держателя с расширительным узлом.
7. Электростатический держатель по п.6, отличающийся тем, что зажимное средство упирается в наружную кромку корпуса держателя и сжимает металлическое уплотнение между корпусом держателя и расширительным узлом.
8. Электростатический держатель по п.7, отличающийся тем, что металлическое уплотнение является С-образным уплотнительным кольцом.
9. Электростатический держатель по п.6, отличающийся тем, что зажимное средство содержит зажимное кольцо.
10. Электростатический держатель по п.1, отличающийся тем, что теплопередающий корпус содержит охлаждающую плиту, имеющую, по меньшей мере, один канал для хладагента, в котором может циркулировать хладагент для поддерживания требуемой температуры корпуса держателя, камера повышенного давления образует кольцевое пространство, которое проходит, по меньшей мере, на протяжении 50% нижней стороны корпуса держателя, и теплопередающий корпус содержит канал подачи газа, через который теплопроводной газ проходит в камеру повышенного давления.
11. Электростатический держатель по п.1, отличающийся тем, что расширительный узел образует наружную стенку камеры повышенного давления и поддерживает герметичное уплотнение с корпусом держателя и теплопередающим корпусом во время циклической тепловой нагрузки корпуса держателя.
12. Электростатический держатель по п.1, отличающийся тем, что корпус держателя содержит газовые каналы, проходящие между камерой повышенного давления и наружной поверхностью корпуса держателя, при этом газовые каналы, предпочтительно, расположены вблизи расширительного узла и подают теплопроводный газ из камеры повышенного давления к нижней стороне наружной периферии подложки во время ее обработки.
13. Электростатический держатель по п.1, отличающийся тем, что корпус держателя содержит металлический материал или керамический материал.
14. Электростатический держатель по п.13, отличающийся тем, что корпус держателя содержит керамический материал, выбранный из группы, состоящей из нитрида алюминия, нитрида кремния, нитрида бора, карбида кремния, оксида алюминия и их смесей.
15. Электростатический держатель по п.1, отличающийся тем, что дополнительно содержит керамическую или металлическую трубчатую часть, проходящую от центральной части нижней стороны корпуса держателя, при этом наружная поверхность трубчатой части образует стенку камеры повышенного давления; внутреннее пространство трубчатой части, предпочтительно, содержит систему, подающую энергию к нагревательному элементу, и/или систему регулирования температуры корпуса держателя; внутреннее пространство трубчатой части, предпочтительно, открыто для атмосферного давления.
16. Способ обработки подложки в вакуумной камере, содержащий стадии электростатического фиксирования подложки на наружной поверхности электростатического держателя по п.1, предпочтительно, нагревания подложки с помощью нагревательного элемента в корпусе держателя, управления температурой подложки и корпуса держателя с помощью теплопроводного газа, подаваемого в камеру повышенного давления, который может также, предпочтительно, проходить через отверстия в корпусе держателя в зазор между корпусом держателя и нижней стороной подложки, и обработки подложки.
17. Способ по п.16, отличающийся тем, что подложку равномерно нагревают до температуры свыше 150°С, корпус держателя и теплопроводящий корпус термически изолируют с помощью камеры повышенного давления и деформируемой кольцевой части тепловой заслонки расширительного узла, за счет чего увеличен срок службы электростатического держателя, поскольку связанное с температурой механическое напряжение минимизировано расширительным узлом расширения.
18. Способ по п.16, отличающийся тем, что стадия обработки подложки содержит подачу технологического газа в камеру обработки, возбуждение технологического газа до плазменного состояния и травление плазмой открытой поверхности подложки.
19. Способ по п.16, отличающийся тем, что стадия обработки подложки содержит осаждение покрытия на подложку.
20. Способ по п.16, отличающийся тем, что стадия обработки подложки содержит химическое осаждение из паровой фазы, плазменное осаждение из паровой фазы, напыление, ионную имплантацию или плазменное травление.
21. Расширительный узел для электростатического держателя, содержащий первый кольцевой монтажный фланец, выполненный с возможностью разъемного соединения с корпусом держателя, второй кольцевой монтажный фланец и расширительное соединение, содержащее деформируемую кольцевую часть, соединяющую первый и второй монтажные фланцы.
22. Расширительный узел по п.21, отличающийся тем, что первый кольцевой монтажный фланец взаимодействует с зажимной системой, выполненной с возможностью прижимания корпуса держателя к первому монтажному фланцу.
23. Расширительный узел по п.21, отличающийся тем, что деформируемая кольцевая часть соединена с одним из монтажных фланцев с помощью изогнутой части.
24. Расширительный узел по п.21, отличающийся тем, что деформируемая кольцевая часть соединена с одним из монтажных фланцев с помощью паяного твердым припоем или сварного шва.
25. Расширительный узел по п.21, отличающийся тем, что один первый или второй монтажный фланец и деформируемая кольцевая часть выполнены из одной заготовки материала.
26. Расширительный узел по п.22, отличающийся тем, что зажимная система и первый монтажный фланец выполнены с возможностью зажима металлического уплотнения в виде С-образного кольца между наружной периферией корпуса держателя и указанным первым монтажным фланцем.
27. Расширительный узел по п.21, отличающийся тем, что электростатический держатель предусмотрен в опоре подложки, используемой в высокотемпературной вакуумной камере обработки, при этом опора подложки включает корпус держателя, содержащий, предпочтительно, нагревательный элемент и/или электрод, выполненный с возможностью электростатического фиксирования подложки на наружной поверхности корпуса держателя, теплопередающий корпус, выполненный с возможностью отвода тепла от корпуса держателя через теплопроводной газ в камере повышенного давления, расположенной между находящимися на расстоянии друг от друга поверхностями корпуса держателя и теплопередающего корпуса, первый монтажный фланец, выполненный с возможностью разъемного соединения с наружной периферией корпуса держателя, второй монтажный фланец, выполненный с возможностью разъемного соединения с теплопередающим корпусом, при этом расширительный узел согласовывает различное тепловое расширение корпуса держателя и теплопередающего корпуса.
28. Расширительный узел по п.27, отличающийся тем, что деформируемая кольцевая часть соединена с одним из монтажных фланцев с помощью изогнутой части и с другим из монтажных фланцев с помощью пайки твердым припоем или сварки.
29. Расширительный узел по п.27, отличающийся тем, что дополнительно содержит зажимную систему, соединенную с первым монтажным фланцем с возможностью прижимания первого монтажного фланца к корпусу держателя.
30. Расширительный узел по п.29, отличающийся тем, что зажимная система и первый монтажный фланец расширительного узла выполнены с возможностью зажима металлического уплотнения в виде С-образного кольца между наружной периферией корпуса держателя и указанным первым монтажным фланцем.
RU2004102206/28A 2001-06-28 2002-06-05 Электростатический держатель для использования в вакуумной камере высокотемпературной обработки, способ обработки подложки и расширительный узел электростатического держателя RU2295799C2 (ru)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/892,458 2001-06-28
US09/892,458 US6669783B2 (en) 2001-06-28 2001-06-28 High temperature electrostatic chuck

Publications (2)

Publication Number Publication Date
RU2004102206A RU2004102206A (ru) 2005-02-27
RU2295799C2 true RU2295799C2 (ru) 2007-03-20

Family

ID=25399981

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2004102206/28A RU2295799C2 (ru) 2001-06-28 2002-06-05 Электростатический держатель для использования в вакуумной камере высокотемпературной обработки, способ обработки подложки и расширительный узел электростатического держателя

Country Status (12)

Country Link
US (1) US6669783B2 (ru)
EP (1) EP1399963B1 (ru)
JP (1) JP4256257B2 (ru)
KR (1) KR100907848B1 (ru)
CN (2) CN100437968C (ru)
AT (1) ATE356431T1 (ru)
AU (1) AU2002303965A1 (ru)
DE (1) DE60218669D1 (ru)
IL (2) IL159497A0 (ru)
RU (1) RU2295799C2 (ru)
TW (1) TW550643B (ru)
WO (1) WO2003003448A2 (ru)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2486631C2 (ru) * 2008-12-25 2013-06-27 Улвак, Инк. Способ изготовления пластины держателя для использования в электростатическом держателе
RU2579533C2 (ru) * 2010-12-14 2016-04-10 МЭППЕР ЛИТОГРАФИ АйПи Б. В. Литографическая система и способ обработки подложек в такой литографической системе
RU222969U1 (ru) * 2023-05-23 2024-01-25 Виктор Игоревич Иванов Подложкодержатель для плазмохимических и электростатических процессов

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3870824B2 (ja) * 2001-09-11 2007-01-24 住友電気工業株式会社 被処理物保持体、半導体製造装置用サセプタおよび処理装置
US20040045813A1 (en) * 2002-09-03 2004-03-11 Seiichiro Kanno Wafer processing apparatus, wafer stage, and wafer processing method
KR101163682B1 (ko) 2002-12-20 2012-07-09 맷슨 테크날러지 캐나다 인코퍼레이티드 피가공물 지지 장치
JP2005276886A (ja) * 2004-03-23 2005-10-06 Nikon Corp 静電チャックおよび露光装置
US7452427B2 (en) * 2004-12-01 2008-11-18 Deft, Inc. Corrosion resistant conversion coatings
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US7826724B2 (en) * 2006-04-24 2010-11-02 Nordson Corporation Electronic substrate non-contact heating system and method
KR100702846B1 (ko) * 2006-05-16 2007-04-03 삼성전자주식회사 이온주입설비의 정전척 크리닝장치
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
CN101711481B (zh) * 2006-10-18 2013-01-09 汤姆森特许公司 使用预测数据精选进行视频编码的方法和装置
JP5967859B2 (ja) 2006-11-15 2016-08-10 マトソン テクノロジー、インコーポレイテッド 熱処理中の被加工物を支持するシステムおよび方法
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8022718B2 (en) * 2008-02-29 2011-09-20 Lam Research Corporation Method for inspecting electrostatic chucks with Kelvin probe analysis
KR101610269B1 (ko) 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. 워크피스 파손 방지 방법 및 장치
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
JP5088331B2 (ja) * 2009-01-26 2012-12-05 東京エレクトロン株式会社 熱処理装置用の構成部品及び熱処理装置
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
TWI538094B (zh) * 2009-03-31 2016-06-11 蘭研究公司 用以處理盤狀物品的裝置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR20120116923A (ko) * 2009-11-30 2012-10-23 램 리써치 코포레이션 각진 측벽을 가진 정전 척
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
CN102387655B (zh) * 2010-09-06 2015-10-21 北京北方微电子基地设备工艺研究中心有限责任公司 用于等离子体设备的下电极及等离子体设备
CN102468208B (zh) * 2010-11-16 2015-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 卡盘和半导体处理装置
CN102465283B (zh) * 2010-11-16 2013-12-11 北京北方微电子基地设备工艺研究中心有限责任公司 一种卡盘和半导体处理装置
JP5609663B2 (ja) * 2011-01-18 2014-10-22 旭硝子株式会社 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9673037B2 (en) 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013088733A1 (ja) * 2011-12-14 2013-06-20 株式会社ニコン 基板ホルダ及び一対の基板ホルダ
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
JP5989593B2 (ja) * 2012-04-27 2016-09-07 日本碍子株式会社 半導体製造装置用部材
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103794538B (zh) * 2012-10-31 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
CN103904014B (zh) * 2012-12-31 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘和反应腔室
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9142438B2 (en) * 2013-02-28 2015-09-22 Varian Semiconductor Equipment Associates, Inc. Techniques for clamping and declamping a substrate
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9668373B2 (en) * 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
CN104377155B (zh) * 2013-08-14 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
JP2015109249A (ja) * 2013-10-22 2015-06-11 東京エレクトロン株式会社 プラズマ処理装置
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
JP6293499B2 (ja) 2014-01-27 2018-03-14 株式会社日立ハイテクノロジーズ 真空処理装置
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US10325800B2 (en) * 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6609425B2 (ja) 2015-06-17 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
JP6697363B2 (ja) * 2015-10-30 2020-05-20 日本碍子株式会社 半導体製造装置用部材、その製法及びシャフト付きヒータ
JP6960737B2 (ja) 2017-01-23 2021-11-05 株式会社日立ハイテク 真空処理装置
CN107808848A (zh) * 2017-11-28 2018-03-16 北京北方华创微电子装备有限公司 静电卡盘以及半导体设备
US10306776B1 (en) 2017-11-29 2019-05-28 Lam Research Corporation Substrate processing system printed-circuit control board assembly with one or more heater layers
JP7083463B2 (ja) 2018-02-23 2022-06-13 株式会社日立ハイテク 真空処理装置
JP6873178B2 (ja) * 2019-03-26 2021-05-19 日本碍子株式会社 半導体製造装置用部材、その製法及び成形型
RU191199U1 (ru) * 2019-04-26 2019-07-29 Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский Нижегородский государственный университет им. Н.И. Лобачевского" Блок фиксации нагреваемой подложки в вакуумной камере с плоско-пружинными зажимами фиксирующих керамических пластин
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
WO2021221886A1 (en) 2020-04-29 2021-11-04 Applied Materials, Inc. Heater cover plate for uniformity improvement
CN112002658A (zh) * 2020-08-25 2020-11-27 北京北方华创微电子装备有限公司 加热器和加热基座
CN112011778B (zh) * 2020-08-26 2022-08-16 北京北方华创微电子装备有限公司 一种半导体工艺设备中的卡盘组件及半导体工艺设备
CN112133619B (zh) * 2020-09-22 2023-06-23 重庆臻宝科技股份有限公司 下部电极塑封夹具及塑封工艺
US11598006B2 (en) * 2021-01-08 2023-03-07 Sky Tech Inc. Wafer support and thin-film deposition apparatus using the same

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5986260A (ja) * 1982-11-10 1984-05-18 Hitachi Ltd ゲ−トタ−ンオフサイリスタ
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4692836A (en) 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JPH02250198A (ja) 1989-03-24 1990-10-05 Tdk Corp テープカセット自動販売機
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3129452B2 (ja) 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5155652A (en) 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5511799A (en) 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5535090A (en) 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
US5691876A (en) 1995-01-31 1997-11-25 Applied Materials, Inc. High temperature polyimide electrostatic chuck
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5534816A (en) 1995-04-14 1996-07-09 Delco Electronics Corporation Programmable transducer amplifier circuit
US5708556A (en) * 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
US5730803A (en) 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US5796066A (en) 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5930639A (en) 1996-04-08 1999-07-27 Micron Technology, Inc. Method for precision etching of platinum electrodes
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH1064983A (ja) 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6034863A (en) * 1997-11-12 2000-03-07 Applied Materials, Inc. Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6159055A (en) * 1998-07-31 2000-12-12 Applied Materials, Inc. RF electrode contact assembly for a detachable electrostatic chuck
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2486631C2 (ru) * 2008-12-25 2013-06-27 Улвак, Инк. Способ изготовления пластины держателя для использования в электростатическом держателе
RU2579533C2 (ru) * 2010-12-14 2016-04-10 МЭППЕР ЛИТОГРАФИ АйПи Б. В. Литографическая система и способ обработки подложек в такой литографической системе
RU222969U1 (ru) * 2023-05-23 2024-01-25 Виктор Игоревич Иванов Подложкодержатель для плазмохимических и электростатических процессов

Also Published As

Publication number Publication date
CN1941317A (zh) 2007-04-04
AU2002303965A1 (en) 2003-03-03
CN1537328A (zh) 2004-10-13
WO2003003448A3 (en) 2003-05-08
RU2004102206A (ru) 2005-02-27
CN1293620C (zh) 2007-01-03
US20030003749A1 (en) 2003-01-02
WO2003003448A2 (en) 2003-01-09
EP1399963A2 (en) 2004-03-24
IL159497A0 (en) 2004-06-01
KR100907848B1 (ko) 2009-07-14
JP4256257B2 (ja) 2009-04-22
JP2005516379A (ja) 2005-06-02
ATE356431T1 (de) 2007-03-15
KR20040015763A (ko) 2004-02-19
CN100437968C (zh) 2008-11-26
EP1399963B1 (en) 2007-03-07
TW550643B (en) 2003-09-01
US6669783B2 (en) 2003-12-30
IL159497A (en) 2008-03-20
DE60218669D1 (de) 2007-04-19

Similar Documents

Publication Publication Date Title
RU2295799C2 (ru) Электростатический держатель для использования в вакуумной камере высокотемпературной обработки, способ обработки подложки и расширительный узел электростатического держателя
JP4805450B2 (ja) 静電チャック及び真空チャンバ内の基板処理方法
US7697260B2 (en) Detachable electrostatic chuck
KR100807136B1 (ko) 플라즈마 챔버에서 유용한 정전 에지 링 척을 포함하는 커플링 링 어셈블리 및 플라즈마 챔버를 사용하여 반도체 기판을 처리하는 방법
US8390980B2 (en) Electrostatic chuck assembly
JP4736564B2 (ja) 載置台装置の取付構造及び処理装置
KR20090011307U (ko) 밀봉 조립체를 갖는 분리 가능한 정전 척
KR20100127200A (ko) 배치대 구조 및 처리 장치
US20040045813A1 (en) Wafer processing apparatus, wafer stage, and wafer processing method
US11887878B2 (en) Detachable biasable electrostatic chuck for high temperature applications
JP2019519926A (ja) 縁部シーリングを向上させた大電力用ワークピースキャリア
JP3181364B2 (ja) プラズマ処理装置
JP2006086230A (ja) 半導体製造装置
JP4165745B2 (ja) 半導体ウェハ保持装置

Legal Events

Date Code Title Description
MM4A The patent is invalid due to non-payment of fees

Effective date: 20130606