JP5609663B2 - ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法 - Google Patents

ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法 Download PDF

Info

Publication number
JP5609663B2
JP5609663B2 JP2011007760A JP2011007760A JP5609663B2 JP 5609663 B2 JP5609663 B2 JP 5609663B2 JP 2011007760 A JP2011007760 A JP 2011007760A JP 2011007760 A JP2011007760 A JP 2011007760A JP 5609663 B2 JP5609663 B2 JP 5609663B2
Authority
JP
Japan
Prior art keywords
glass substrate
holding
chuck mechanism
holding means
guide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011007760A
Other languages
English (en)
Other versions
JP2012151218A (ja
Inventor
喬宏 三森
喬宏 三森
木下 健
健 木下
博利 伊勢
博利 伊勢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AGC Inc
Original Assignee
Asahi Glass Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Glass Co Ltd filed Critical Asahi Glass Co Ltd
Priority to JP2011007760A priority Critical patent/JP5609663B2/ja
Priority to KR1020110135582A priority patent/KR20120083842A/ko
Priority to SG2012000436A priority patent/SG182910A1/en
Priority to US13/347,150 priority patent/US8967608B2/en
Publication of JP2012151218A publication Critical patent/JP2012151218A/ja
Application granted granted Critical
Publication of JP5609663B2 publication Critical patent/JP5609663B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S269/00Work holders
    • Y10S269/90Supporting structure having work holder receiving apertures or projections
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S269/00Work holders
    • Y10S269/903Work holder for electrical circuit assemblages or wiring systems

Description

本発明は、ガラス基板保持手段に関する。本発明のガラス基板保持手段は、半導体製造等に使用されるEUV(Extreme Ultraviolet:極端紫外)リソグラフィ用反射型マスクブランクス(以下、本明細書において、「EUVマスクブランクス」という。)、若しくは該EUVマスクブランクス用の機能膜付基板の製造時にガラス基板を保持するのに好適である。
また、本発明は、本発明のガラス基板保持手段を用いたEUVマスクブランクス若しくはEUVマスクブランクス用の機能膜付基板の製造方法に関する。
従来、半導体産業において、シリコン基板等に微細なパターンからなる集積回路を形成する上で必要な微細パターンの転写技術として、可視光や紫外光を用いたフォトリソグラフィ法が用いられてきた。しかし、半導体デバイスの微細化が加速している一方で、従来のフォトリソグラフィ法の限界に近づいてきた。フォトリソグラフィ法の場合、パターンの解像限界は露光波長の1/2程度であり、液浸法を用いても露光波長の1/4程度と言われており、ArFレーザ(193nm)の液浸法を用いても45nm程度が限界と予想される。そこで45nm以降の露光技術として、ArFレーザよりさらに短波長のEUV光を用いた露光技術であるEUVリソグラフィが有望視されている。本明細書において、EUV光とは、軟X線領域または真空紫外線領域の波長の光線を指し、具体的には波長10〜20nm程度、特に13.5nm±0.3nm程度の光線を指す。
EUV光は、あらゆる物質に対して吸収されやすく、かつこの波長で物質の屈折率が1に近いため、従来の可視光または紫外光を用いたフォトリソグラフィのような屈折光学系を使用することができない。このため、EUV光リソグラフィでは、反射光学系、すなわち反射型フォトマスクとミラーとが用いられる。
マスクブランクは、フォトマスク製造に用いられるパターニング前の積層体である。EUVマスクブランクの場合、ガラス製等の基板上にEUV光を反射する反射層と、EUV光を吸収する吸収体層とがこの順で形成された構造を有している。反射層としては、高屈折層であるモリブデン(Mo)層と低屈折層であるケイ素(Si)層とを交互に積層することで、EUV光を層表面に照射した際の光線反射率が高められたMo/Si多層反射膜が通常使用される。
吸収層には、EUV光に対する吸収係数の高い材料、具体的にはたとえば、クロム(Cr)やタンタル(Ta)を主成分とする材料が用いられる。
多層反射膜および吸収層は、イオンビームスパッタリング法やマグネトロンスパッタリング法を用いてガラス基板の光学面上に成膜される。多層反射膜および吸収層を成膜する際、ガラス基板は保持手段によって保持される。ガラス基板の保持手段として、機械的チャックおよび静電チャックがあるが、発塵性の問題から、多層反射膜および吸収層を成膜する際のガラス基板の保持手段、特に多層反射膜を成膜する際のガラス基板の保持手段としては、静電チャックによる吸着保持が好ましく用いられる。
静電チャックは、半導体装置の製造プロセスにおいて、シリコンウェハの吸着保持に従来用いられている技術であり、平面形状が円形、矩形等の形状をした吸着保持面にシリコンウェハの中心部を接触させ、静電チャックの電極部に電圧を印加することによって生じる静電引力によって保持するものである。
ガラス基板の吸着保持に用いる場合も、電極部の形状が複雑にならない、十分な保持力を発揮することができる、吸着保持されたガラス基板が傾くことがない等の理由から、ガラス基板の中心部、具体的には、EUVマスクブランクスの製造時に多層反射膜や吸収層が形成されるガラス基板の成膜面に対する裏面の中心部を静電チャックの吸着保持面と接触させて保持することになる。ガラス基板の中心部を吸着保持することは、装置設計の簡便さや、シリコンウェハの吸着保持に広く使用されている静電チャックを流用することでコストダウンを図ることができるといった点でも好ましいと従来は考えられていた。
以下、本明細書において、EUVマスクブランクスの製造時に多層反射膜や吸収層が形成されるガラス基板の面をガラス基板の「成膜面」といい、該成膜面に対する裏面をガラス基板の「裏面」という。
しかしながら、ガラス基板の裏面の中心部を静電チャックの吸着保持面と接触させて保持した場合、該裏面の中心部に異物が付着したり、傷が発生するおそれがある。EUVマスクブランクスの製造に用いられるガラス基板の場合、裏面であってもその中心部は通常、品質保証領域として露光機等の装置毎に指定され、異物の付着や傷が存在しないことが要求される部位である。ゆえに該裏面の中心部への異物の付着や傷の発生は重大な問題となりうる。
裏面の中心部への異物の付着や傷の発生を防止するためには、品質保証領域を除いた裏面の外縁部を静電チャックの吸着保持面と接触させて保持すればよいと一見すると考えられる。
しかしながら、裏面の外縁部を静電チャックの吸着保持面と接触させて保持した場合、静電チャックの吸着保持面と接触する部位(以下、本明細書において、ガラス基板の「被吸着保持部」ともいう。)の表面積が小さくなるため、ガラス基板を保持するのに十分な大きさの吸着保持力を発揮させた場合、被吸着保持部への単位面積当たりの圧力が高くなり、該被吸着保持部での傷の発生やそれによる異物の発生が問題となるおそれがある。また、該被吸着保持部に生じる静電場により、帯電した異物が多量に引き付けられるおそれがある。
被吸着保持部は裏面の外縁部に存在するため、傷や異物の発生による影響は裏面の品質保証領域で同様の問題が生じた場合に比べると小さいが、被吸着保持部で発生した異物や、該被吸着保持部に引きつけられた異物の一部が裏面の品質保証領域に転写されるおそれがある。また、被吸着保持部に傷が生じると、ガラス基板に製造したマスクブランクスの後工程の際に、ガラス基板の保持力が低下するおそれがある。すなわち、EUVマスクブランクスから反射型マスクを作製する際のマスクパターニングプロセスの際や、EUVリソグラフィでの露光時の反射型マスクのハンドリングの際にも、ガラス基板の保持手段として、静電チャックによる吸着保持が用いられるが、被吸着保持部に段差をなす傷が存在すると、該被吸着保持部に平坦性が低下し静電チャックによる吸着保持力が低下するおそれがある。
一方、被吸着保持部での傷の発生や、該被吸着保持部に多量の異物が引きつけられるのを防止するため、静電チャックによる吸着保持力を小さくした場合、ガラス基板の吸着保持力が不十分となり、EUVマスクブランクスの製造時にガラス基板の位置ずれや脱離が起こるおそれがある。
以上では、静電チャックによるガラス基板の吸着保持について述べたが、ガラス基板の外縁部を物理的に保持する、機械的チャック機構により保持する手段も存在する。
特許文献1,2には、マスクブランクスの製造時において、機械的クランプ手段(特許文献1)やガラス基板押圧手段(特許文献2)でガラス基板の外縁部を保持することが開示されている。
これらの手段を用いた場合、これらの手段とガラス基板とが接する部位の表面積が小さいので、ガラス基板を保持するのに十分な大きさの保持力を発揮させた場合、ガラス基板の被保持部位への単位面積当たりの圧力が高くなり、静電チャックの場合と同様に、ガラス基板の被保持部での傷の発生やそれによる異物の発生が問題となるおそれがある。
特開2006−49910号公報 特開2005−77845号公報
本発明は、上記した従来技術の問題点を解決するため、EUVマスクブランクの製造時において、ガラス基板の位置ずれや脱離が生じることがなく、かつ、保持によるガラス基板への傷の発生や異物の付着を抑制することができるガラス基板保持手段、および、それを用いたEUVマスクブランクス若しくはEUVマスクブランクス用の機能膜付基板の製造方法を提供することを目的とする。
本発明は、EUVリソグラフィ(EUVL)用反射型マスクブランクスの製造時に用いられるガラス基板の保持手段であって、
前記ガラス基板の保持手段は、
ガラス基板の裏面の一部を吸着保持する静電チャック機構と、
押圧部を有し、該押圧部で前記ガラス基板の成膜面側の一部を押圧することにより、前記ガラス基板を成膜面側および裏面側から挟持して保持する機械的チャック機構と、を有し、
前記静電チャック機構による前記ガラス基板の被吸着保持部、および、前記機械的チャック機構による前記ガラス基板の被押圧部が、それぞれ前記ガラス基板の成膜面および裏面の品質保証領域より外側にあり、
前記静電チャック機構による前記ガラス基板の吸着保持力と、前記機械的チャック機構による前記ガラス基板の保持力と、の和が、200kgf以上であり、
前記機械的チャック機構による前記ガラス基板の単位面積当たりの押圧力が、25kgf/mm2以下であることを特徴とするガラス基板保持手段を提供する。
本発明のガラス基板保持手段において、前記押圧部の押圧面と、前記ガラス基板の成膜面と、のなす角度が5〜70度であることが好ましい。
本発明のガラス基板保持手段において、前記機械的チャック機構の前記押圧部は、前記ガラス基板よりも硬度が低い材料で作成されていることが好ましい。
本発明のガラス基板保持手段は、平面形状が矩形のガラス基板を保持する手段であって、前記機械的チャック機構による前記ガラス基板の被押圧部が2個所以上存在し、該被押圧部のうち少なくとも2つがそれぞれ、ガラス基板の成膜面の外縁をなす4辺のうち対向する2辺を含む位置、若しくは、該2辺に近接する位置に存在することが好ましい。
本発明のガラス基板保持手段は、平面形状が矩形のガラス基板を保持する手段であって、さらに、下記を満たす基板の位置決め手段を有することが好ましい。
(1)前記位置決め手段は、前記ガラス基板の側面および底面に対して傾斜したガイド面もしくはガイド湾曲面を有し、前記ガラス基板保持手段にガラス基板を載置する際に、該ガイド面もしくはガイド湾曲面が、前記ガラス基板の側面と底面との境界をなす辺と当接する。
(2)前記位置決め手段は、基板の側面と底面との境界をなす4辺のうち、直交する2辺に対して少なくとも1つずつ設けられている。
(3)前記位置決め手段のガイド面もしくはガイド湾曲面と、前記ガラス基板の側面と底面との境界をなす辺と、が当接した際に、該ガイド面もしくはガイド湾曲面と、該ガラス基板の底面と、のなす角度が5度以上90度未満である。
ここで、前記直交する2辺に対して前記位置決め手段が1つずつ設けられている場合、
前記位置決め手段が設けられた辺の長さをL(mm)とするとき、前記辺のうち、前記ガイド面もしくはガイド湾曲面と当接する部分の端部と、前記辺の端部と、の距離が0.1L以上であることが好ましい。
本発明のガラス基板保持手段において、前記位置決め手段は、前記ガラス基板よりも硬度が低い材料で作成されていることが好ましい。
また、本発明は、ガラス基板の一方の面にEUV光を反射する反射層が形成されたEUVリソグラフィ用(EUVL)用反射層付基板の製造方法であって、
乾式成膜法によって、前記ガラス基板上に前記反射層を形成する際に、本発明のガラス基板保持手段を用いてガラス基板を保持することを特徴とするEUVL用反射層付基板の製造方法を提供する。
また、本発明は、ガラス基板の一方の面にEUV光を反射する反射層、および、EUV光を吸収する吸収層を少なくともこの順に形成されたEUVリソグラフィ(EUVL)用反射型マスクブランクの製造方法であって、
乾式成膜法によって、前記ガラス基板上に、前記反射層、および、前記吸収層のうち、少なくとも1つを形成する際に、本発明のガラス基板保持手段を用いてガラス基板を保持することを特徴とするEUVL用反射型マスクブランクの製造方法を提供する。
本発明のEUVL用反射層付基板の製造方法、および、本発明のEUVL用反射型マスクブランクの製造方法において、前記ガラス基板保持手段が前記基板位置決め手段を有している場合、前記ガラス基板保持手段にガラス基板を載置する際に、該ガイド面もしくはガイド湾曲面を前記ガラス基板の側面と底面との境界をなす辺と当接させることにより、前記ガラス基板保持手段と前記ガラス基板との位置決めを行うことが好ましい。
本発明によれば、EUVマスクブランクの製造時において、ガラス基板の位置ずれや脱離が生じることがなく、かつ、保持によるガラス基板への傷の発生や異物の付着を抑制することができる。
本発明において、基板位置決め手段を有するガラス基板保持手段に用いることにより、ガラス基板保持手段とガラス基板との位置関係が適切な状態となるように位置決めすることができる。
図1は、本発明のガラス基板保持手段の1実施形態を模式的に示した平面図であり、該ガラス基板保持手段上にはガラス基板が示されている。 図2(A)は図1中A−A´線に沿った断面図であり、図2(B)は図1中B−B´線に沿った断面図であり、それぞれガラス基板の搬入時または離脱時の状態を模式的に示している。 図3(A)は図1中A−A´線に沿った断面図であり、図3(B)は図1中B−B´線に沿った断面図であり、それぞれガラス基板の保持時の状態を模式的に示している。 図4(a)〜(e)は、図1中B−B´線に沿った断面図であり、ガラス基板保持手段にガラス基板を搬入して、保持するまでの手順を示している。但し、理解を容易にするため、マスク部と該マスク部に取り付けられた機械的チャック機構の押圧部は省略されている。
以下、図面を参照して本発明のガラス基板保持手段を説明する。
図1は、本発明のガラス基板保持手段の1実施形態を模式的に示した平面図である。図2(A)および図3(A)は図1中A−A´線に沿った断面図である。図2(B)および図3(B)は図1中B−B´線に沿った断面図である。これらの図では、本発明のガラス基板保持手段によって、ガラス基板をどのように保持するかという点を明確にするため、ガラス基板保持手段上にはガラス基板を示している。また、図2(A)、(B)はガラス基板の搬入時または離脱時の状態を示しており、図3(A)、(B)はガラス基板の保持時の状態を示している。
本発明のガラス基板保持手段は、静電チャック機構、および、機械的チャック機構を有しており、これらの機構を用いてガラス基板を保持する。
本発明のガラス基板保持手段100では、静電チャック機構110によってガラス基板200の裏面を吸着保持する。
ここで、静電チャック機構110によるガラス基板200裏面の吸着保持のみに着目した場合、図2(A)、(B)に示すガラス基板200搬入時の状態から、図3(A)、(B)に示すガラス基板200の保持時の状態に移行するには、ガラス基板200裏面の四隅の端部付近を保持する支持ピン140を下降させて、ガラス基板200の裏面を静電チャック機構110の吸着保持部111の上面(吸着保持面)と接触させればよい。
上述したように、従来の静電チャックでは、ガラス基板の中心部、より具体的には、ガラス基板の裏面の中心部、を静電チャックの吸着保持面と接触させることによって、ガラス基板を吸着保持していた。
これに対して本発明のガラス基板保持手段100では、ガラス基板200の裏面中心部に存在する品質保証領域ではなく、該品質保証領域より外側の部位(以下、本明細書において、「裏面外周部」という。)を静電チャック110の吸着保持面(吸着保持部111の上面)と接触させることによってガラス基板を吸着保持する。このため、図示したガラス基板保持手段100の静電チャック110では、静電チャック110の上面のうち、外周部に凸部が設けられており、該凸部がガラス基板200の裏面外周部と接触する吸着保持部111をなす。
ここで、ガラス基板200の裏面の品質保証領域は、152.4mm角のガラス基板の場合、通常144mm角の領域であるので、静電チャック110上にガラス基板200を載置した際に、該144mm角の領域よりも外側の部位と接触するように、吸着保持部111をなす凸部を設ければよく、146mm角の領域よりも外側の部位と接触するように、吸着保持部111をなす凸部を設けることが好ましく、147mm角の領域よりも外側の部位と接触するように、吸着保持部111をなす凸部を設けることがより好ましく、148mm角の領域よりも外側の部位と接触するように、吸着保持部111をなす凸部を設けることがさらに好ましい。ガラス基板の裏面の品質保証領域が144mm角の領域とは異なる場合も、上記の同様の考え方で品質保証領域よりも外側の部位、好ましくは品質保証領域+2mm角の領域よりも外側の部位、より好ましくは品質保証領域+3mm角の領域よりも外側の部位、さらに好ましくは品質保証領域+4mm角の領域よりも外側の部位と接触するように、吸着保持部111をなす凸部を設ければよい。
図示した静電チャック110のように、静電チャック110の上面のうち外周部に吸着保持部111をなす凸部が設ける方法としては、静電チャックの最表層をなす誘電体層にエンボス加工を施す方法がある。
図示した静電チャック110では、静電チャック110の上面の外周部に沿って枠組み状に、吸着保持部111をなす凸部が設けられているが、静電チャック上にガラス基板を載置した際に、静電チャックの上面に設けられた凸部がガラス基板の裏面外周部と接触する限り、吸着保持部をなす凸部の静電チャックにおける配置、および、個々の凸部の形状、寸法等は限定されない。たとえば、静電チャックの上面の外周部のうち、角部のみに吸着保持部をなす凸部が設けてもよく、その反対に角部以外の部分のみに吸着保持部をなす凸部を設けてもよい。なお、これらの態様の場合、静電チャックの上面に複数の凸部を設けることになるので、静電チャック上にガラス基板を載置した際に、ガラス基板を水平に保持できるよう、静電チャック上での凸部の配置に留意する必要がある。
静電チャック上にガラス基板を載置した際に、該静電チャックの上面に設けられた凸部のみをガラス基板の裏面と接触させるためには、該凸部の高さが5μm以上であることが好ましく、10μm以上であることがより好ましく、15μm以上であることがさらに好ましい。凸部の高さが5μm未満の場合、吸着保持力による凸部の変形によって、ガラス基板の裏面と、静電チャックの凸部以外の部分と、が接触するおそれがある。また、静電チャックの凸部以外の部分に存在する異物が、ガラス基板の裏面と接触してガラス基板の裏面に異物が転写されるおそれがある。
但し、凸部の高さが大きすぎると、静電チャックによる吸着保持力が低下するおそれがあるので、凸部の高さが100μm以下であることが好ましく、50μm以下であることがより好ましく、30μm以下であることがさらに好ましい。
本発明のガラス基板保持手段において 静電チャック機構の最表層をなす誘電体層は、非導通性であり、かつ保持力による変形が少なく剛性を持ち、耐摩耗性に優れた材料で作製されていることが好ましい。その一方で、吸着保持時にガラス基板に傷が生じるのを防止するために、ガラス基板よりも硬度が低い材料で作製されていることが好ましい。このような特性を満たす材料としては、アピカル(登録商標名、カネカ社製)のようなポリイミドフィルム、ニトフロン(登録商標名、日東電工社製)のようなフッ素樹脂フィルム等が挙げられる。これらの中でもアピカル(登録商標名、カネカ社製)のようなポリイミドフィルムが耐摩耗性に優れることから好ましい。
なお、誘電体層の硬度の目安については、後述する機械的チャック機構の押圧部に関する記載を参考にすればよい。
本発明のガラス基板保持手段100において、機械的チャック機構は押圧部120を有している。該押圧部120でガラス基板200の成膜面の一部を押圧することにより、ガラス基板200を成膜面側および裏面側から挟持して保持することができる。ガラス基板200の保持時の状態は図3(A)に示されている。図3(A)において、ガラス基板200は、押圧部120と、静電チャック110の吸着保持部111をなす凸部と、によって、成膜面側および裏面側から挟持して保持されている。
ここで、押圧部120によるガラス基板200成膜面の押圧のみに着目した場合、図2(A)に示すガラス基板200搬入時の状態から、図3(A)に示すガラス基板の保持時の状態に移行するには、押圧部120が取り付けられたマスク150をガラス基板200に対して相対的に下降させればよい。なお、マスク150は、EUVマスクブランクスの製造時に実施される成膜プロセスの際に、膜材料がガラス基板200の側面側に廻りこむのを防止する目的で設けられている。
本発明のガラス基板保持手段100では、ガラス基板200の成膜面の中心部に位置する品質保証領域より外側の部位(以下、本明細書において、「成膜面外周部」という。)を押圧部120で押圧する。上述したように、静電チャック110の吸着保持面(吸着保持部111の上面)はガラス基板200の裏面外周部と接触するので、ガラス基板200はその外周部(成膜面外周部および裏面外周部)において、成膜面側および裏面側から挟持して保持されることになる。よって、ガラス基板200の成膜面および裏面の中心部に位置する品質保証領域には、機械的チャック機構の押圧部120、および、静電チャック110の吸着保持面(吸着保持部111の上面)といった、ガラス基板保持手段100の構成要素が接触することがない。
これにより、保持によるガラス基板の品質保証領域(成膜面および裏面の品質保証領域)への傷の発生や異物の付着を抑制することができる。
ここで、ガラス基板200の成膜面の品質保証領域は、152.4mm角のガラス基板の場合、通常中心部の148mm角の領域であるので、機械的チャック機構の押圧部120は、該148mm角の領域よりも外側の部位を押圧するように設けられていればよく、150mm角の領域よりも外側の部位を押圧するように設けられていることが好ましい。ガラス基板の成膜面の品質保証領域が148mm角の領域とは異なる場合も、上記の同様の考え方で品質保証領域+2mm角の領域よりも外側の部位、好ましくは品質保証領域+2mm角の領域よりも外側の部位と接触するように、吸着保持部111をなす凸部を設ければよい。
また、ガラス基板の成膜面側の外周部に面取り部が設けられている場合は、該面取り部を押圧するように機械的チャック機構の押圧部が設けられていることがさらに好ましい。
図2(A)および図3(A)において、押圧部120の押圧面は、該押圧部120によって押圧されるガラス基板200の成膜面に対して傾斜している。
図示した態様のように、本発明のガラス基板保持手段100において、押圧部120の押圧面は、該押圧部120によって押圧されるガラス基板200の成膜面に対して傾斜していることが好ましい。その理由は、成膜面外周部のうち、より外側の部位を押圧することが可能となるからである。図3(A)では、押圧部120によって、ガラス基板200の成膜面と側面との境界をなす辺を押圧している。
成膜面外周部のうち、より外側の部位を押圧することは、保持によるガラス基板の品質保証領域(成膜面の品質保証領域)への傷の発生や異物の付着を抑制するうえで好ましい。
また、押圧部120の押圧面がガラス基板200の成膜面に対して傾斜していることは以下の点でも好ましい。
特許文献2に記載のガラス基板押圧手段4(以下、特許文献2に関する記載における符号は同文献での記載での符号の通りである。)は、基板1を押圧する際に、該ガラス基板押圧手段4のクランプ部材41先端の爪部41aが基板1の主表面1aの端部と当接するものであるが、当接時において、該爪部41aの当接面と、主表面1aと、が平行な状態、つまり、両者が面接触した状態となる。このような構成の場合、EUVマスクブランクの製造時に実施される成膜手順の際に、基板1の主表面1a(本願における成膜面)および爪部41aの両方に膜材料が連続して付着する部分が生じる。ガラス基板押圧手段4による押圧を解除する際、このような部分の膜が剥離し、異物を発生させるおそれがある。
これに対し、図2(A)および図3(A)に示す態様のように、押圧部120の押圧面が、ガラス基板200の成膜面に対して傾斜していれば、EUVマスクブランクの製造時に実施される成膜手順の際に、ガラス基板200の成膜面および押圧部120の両方に膜材料が連続して付着する部分が生じにくくなるので、押圧部120による押圧を解除する際に、膜が剥離し、異物を発生させるおそれが抑制される。
本発明のガラス基板保持手段100において、押圧部120の押圧面と、ガラス基板200の成膜面と、のなす角度が5〜70度であることが好ましい。両者のなす角度が5度未満だと、また、特許文献2に記載のガラス基板押圧手段の場合のように、両者が平行な状態に近づくため、ガラス基板200の成膜面および押圧部120の両方に膜材料が連続して付着する部分が生じやすくなり、押圧部120による押圧を解除する際に、膜が剥離し、異物を発生させるおそれがある。
また、成膜面外周部のうち、より内側の部位を押圧することになるため、保持によるガラス基板の品質保証領域(成膜面の品質保証領域)への傷の発生や異物の付着を抑制するうえで好ましくない。
一方、両者のなす角度が70度超だと、押圧部がガラス基板の側面に沿った形に変形してしまい、ガラス基板を押圧することが困難となるおそれがある。
両者のなす角度は10〜65度であることが好ましく、20〜60度であることがより好ましく、30〜55度であることがさらに好ましい。
図2(A)および図3(A)において、機械的チャック機構の押圧部120の押圧面は平面をなしているが、機械的チャック機構の押圧部の押圧面は湾曲面であってもよい。この場合、押圧部をなす湾曲面のうち、ガラス基板の成膜面と当接する位置における接線と、ガラス基板の成膜面と、がなす角度が上記した押圧面と、成膜面と、のなす角度に該当する。
図1において、矩形をしたガラス基板200の成膜面の外縁をなす4辺に対して、機械的チャック機構の押圧部120が各々2つずつ設けられている。別の言い方をすると、ガラス基板200の成膜面の外縁をなす4辺には、それぞれ外縁をなす辺を含む位置、若しくは、外縁をなす辺に近接する位置に、機械的チャック機構(の押圧部120)による被押圧部が2個所ずつ存在する。
外縁をなす辺を含む位置に機械的チャック機構による被押圧部が存在する場合とは、図3(A)に示す態様のように、ガラス基板200の成膜面の外縁をなす辺上に機械的チャック機構(の押圧部120)による被押圧部が存在する場合を指す。但し、押圧部120の形状によっては、外縁をなす辺だけではなく該辺よりも成膜面の内側部分も押圧する場合もあるため、外縁をなす辺を含む位置に機械的チャック機構による被押圧部が存在すると記載する。
一方、外縁をなす辺に近接する位置に機械的チャック機構による被押圧部が存在する場合とは、ガラス基板200の成膜面の外縁よりもなす辺上には機械的チャック機構(の押圧部120)による被押圧部が存在せず、外縁をなす辺よりも成膜面の内側部分にのみ機械的チャック機構による被押圧部が存在する場合を指す。
以下、本明細書において、成膜面の外縁をなす辺を含む位置に機械的チャック機構による被押圧部が存在する場合と、外縁をなす辺に近接する位置に被押圧部が存在する場合を総称して、成膜面の外縁をなす辺に機械的チャック機構による被押圧部が存在するという。
図1では、ガラス基板200の成膜面の外縁をなす4辺に、機械的チャック機構(の押圧部120)による被押圧部がそれぞれ2個所ずつ存在するが、外縁をなす辺に対する被押圧部の数はこれに限定されず、各辺に被押圧部がそれぞれ1個所ずつ存在してもよく、それぞれ3個所以上存在してもよい。また、外縁をなす辺ごとに被押圧部の数が異なっていてもよい。
また、図1では、ガラス基板200の成膜面の外縁をなす4辺全てに、機械的チャック機構(の押圧部120)による被押圧部が存在するが、これに限定されない。
本発明のガラス基板保持手段100では、ガラス基板200の成膜面の外縁をなす4辺のうち、少なくとも対向する2辺(例えば、図1中、上側の辺と下側の辺)に機械的チャック機構による被押圧部が存在すれば、ガラス基板200を水平に保持することが可能である。
本発明のガラス基板保持手段では、ガラス基板の成膜面の外縁をなす4辺のうち、3辺に機械的チャック機構による被押圧部が存在することが好ましく、4辺全てに機械的チャック機構による被押圧部が存在することがより好ましい。
本発明のガラス基板保持手段において、機械的チャック機構の押圧部は、ガラス基板の押圧時に破損することがないよう、十分な硬度を有している必要がある。
しかしながら、押圧部が、押圧されるガラス基板よりも高い硬度を有していると、押圧時にガラス基板に傷が生じるおそれがある。
このため、押圧部が、押圧されるガラス基板よりも硬度が低い材料で作成されていることが好ましい。
押圧部の硬度の目安としては、ビッカース硬さ(HV)が650未満であることが好ましく、100以下であることがより好ましく、30以下であることがさらに好ましい。
また、本発明のガラス基板保持手段において、機械的チャック機構の押圧部は、EUVマスクブランクスの製造時に実施される成膜プロセス下に置かれるため、成膜容器内の真空雰囲気下において、ガス成分を放出するものであってはならない。さらに、成膜装置では基板などが熱を持つ場合があるため、機械的チャック機構の押圧部は、少なくとも約150℃程度まで化学的・物理的に耐熱性を有していることが求められる。
以上の点を満たすことが必要であることから、機械的チャック機構の押圧部の構成材料としては、パーフロロエラストマー、フッ素ゴム、シリコーンゴム等のエラストマー材料や、ポリエーテルエーテルケトン(PEEK)、ポリイミド、ポリベンゾイミダゾール(PBI)樹脂、フッ素樹脂等を用いることができる。これらの中でも、パーフロロエラストマー、フッ素ゴムが好ましく、パーフロロエラストマーがより好ましい。
本発明のガラス基板保持手段は、EUVマスクブランクスの製造時において、位置ずれや脱離が起こすことなくガラス基板を保持することが求められる。
本発明のガラス基板保持手段は、静電チャック機構によるガラス基板の吸着保持力と、機械的チャック機構によるガラス基板の保持力と、の和が、200kgf以上と高いため、EUVマスクブランクスの製造時において、位置ずれや脱離が起こすことなくガラス基板を保持することができる。
本発明のガラス基板保持手段において、静電チャック機構によるガラス基板の吸着保持力と、機械的チャック機構によるガラス基板の保持力と、の和が、240kgf以上であることが好ましく、280kgf以上であることがより好ましい。
上述したように、ガラス基板の裏面外周部を静電チャックで吸着保持する場合、ガラス基板の被吸着保持部の表面積が小さくなるため、ガラス基板を保持するのに十分な大きさの吸着保持力を発揮させた場合、被吸着保持部への単位面積当たりの圧力が高くなり、該被吸着保持部での傷の発生やそれによる異物の発生が問題となるおそれがある。また、該被吸着保持部に対して局所的に静電引力(クーロン力や誘電体引力)が加わるため、残留電荷や漏洩電場によって該被吸着保持部に多量の異物を引き付けられるおそれがある。
被吸着保持部は裏面の外縁部に存在するため、傷や異物の発生による影響は裏面の品質保証領域で同様の問題が生じた場合に比べると小さいが、被吸着保持部で発生した異物や、該被吸着保持部に引きつけられた異物の一部が裏面の品質保証領域に転写されるおそれがある。
ガラス基板の成膜面外周部を機械的チャック機構によって保持する場合も同様であり、ガラス基板を保持するのに十分な大きさの保持力を発揮させた場合、ガラス基板の被保持部位への単位面積当たりの圧力が高くなり、ガラス基板の被保持部での傷の発生やそれによる異物の発生が問題となるおそれがある。
なお、ガラス基板の成膜面外周部および裏面外周部における欠点に関する要求については、成膜面および裏面の品質保証領域における欠点に関する要求とともに後述する。
本発明のガラス基板保持手段では、静電チャック機構による吸着保持と、機械的チャック機構による保持を併用することにより、ガラス基板の保持部への単位面積当たりの圧力(静電チャック機構による吸着保持部への単位面積当たりの圧力、および、機械的チャック機構による吸着保持部への単位面積当たりの圧力)を過度に増加することなしに、ガラス基板を保持するのに十分な大きさの保持力を発揮することができる。これにより、EUVマスクブランクの製造時において、ガラス基板の成膜面外周部および裏面外周部への傷の発生や異物の付着を抑制しつつ、ガラス基板の位置ずれや脱離が防止できる。
本発明のガラス基板保持手段では、静電チャック機構によるガラス基板の吸着保持力と、機械的チャック機構によるガラス基板の保持力と、の和が、200kgf以上であればよく、上限は特に限定されないが、500kgf以下が基板に無理な力をかけずに保持できる目安となる。基板に無理な力をかると基板が変形する場合もあるので好ましくない。
それぞれのチャック機構による保持力の内訳は特に限定されないが、機械的チャック機構によるガラス基板の単位面積当たりの押圧力が大きすぎると、ガラス基板の被押圧部での傷の発生やそれによる異物の発生が問題となるおそれがある。
このため、機械的チャック機構によるガラス基板の単位面積当たりの押圧力は、25kgf/mm 2以下であることが求められる。
本発明のガラス基板保持手段において、機械的チャック機構によるガラス基板の単位面積当たりの押圧力は、10kgf/mm 2以下であることが好ましく、5kgf/mm 2以下であることがより好ましく、1kgf/mm 2以下であることがさらに好ましい。
本発明のガラス基板保持手段において、静電チャック機構によるガラス基板の吸着保持力が30kgf以上であることが好ましく、50kgf以上であることがより好ましく、100kgf以上であることがさらに好ましく、150kgf以上であることがさらに好ましい。また、静電チャック機構によるガラス基板の吸着保持力が250kgf以下であることが好ましく、200kgf以下であることがより好ましい。
本発明のガラス基板保持手段において、機械的チャック機構によるガラス基板の保持力が250kgf以下であることが好ましく、200kgf以下であることがより好ましく、150kgf以下であることがさらに好ましい。また、機械的チャック機構によるガラス基板の保持力が、30kgf以上であることが好ましく、50kgf以上であることがより好ましい。
図1〜図3に示すガラス基板保持手段100を使用する際には、ロボットアーム等の搬送手段(図示しない)を用いてガラス基板200を静電チャック機構110の上方の所定の位置(具体的には、ガラス基板200の四隅の端部付近が支持ピン140の上方となる位置)まで搬送し、ガラス基板200裏面の四隅の端部付近を支持ピン140で保持する。以下、本明細書において、搬送手段を用いてガラス基板を静電チャック機構の上方の所定の位置まで搬送し、ガラス基板裏面の四隅の端部付近を支持ピンで保持する手順を、ガラス基板保持手段にガラス基板を載置する手順と言う。
次に、搬送手段によるガラス基板200の保持を解き、搬送手段をガラス基板保持手段100の外部に移動させる。搬送手段が後述するロボットアーム300の場合、該ロボットアーム300を後退させて、ガラス基板保持手段100の外部に移動させる。次に、支持ピン140を下降させて、ガラス基板200の裏面を静電チャック機構110の吸着保持面(吸着保持部111の上面)と接触させることで、静電チャック機構110によってガラス基板200を吸着保持する。その後、押圧部120が取り付けられたマスク150をガラス基板200に対して相対的に下降させることで、押圧部120と、静電チャック110の吸着保持面111と、によって、ガラス基板200を挟持して保持する。
上記の過程において、ガラス基板保持手段にガラス基板を載置する際に、ガラス基板保持手段とガラス基板との位置関係が適切な状態となるように位置決めすること、具体的には両者が常に水平となる位置関係を保つように位置決めすることが重要である。以下、本明細書において、ガラス基板保持手段とガラス基板との位置関係が適切であると言った場合、両者が常に水平となる位置関係に保たれていることを指す。
ガラス基板保持手段とガラス基板との位置関係が適切でない場合、EUVマスクブランクの製造時に実施される成膜手順の際に、ガラス基板の成膜面外周部に膜材料が付着するおそれがある。ガラス基板の成膜面外周部への膜材料の付着は、異物の発生源となる等の理由から好ましくない。
また、ガラス基板保持手段100とガラス基板200との位置関係が適切でないと、ガラス基板200裏面の四隅のいずれかが支持ピン140の上方に位置していない状態となり、搬送手段によるガラス基板200の保持を解いた際に、ガラス基板200が支持ピン140から落下したり、ガラス基板200が傾いた状態で静電チャック110の吸着保持面111と接触することで、ガラス基板200の被吸着保持部に傷が発生するおそれがある。
このため、本発明のガラス基板保持手段は、ガラス基板保持手段にガラス基板を載置する際に、ガラス基板保持手段とガラス基板との位置関係が適切な状態となるように位置決めする位置決め手段を有していることが好ましい。
図示したガラス基板保持手段100は、位置決め手段130を有している。但し、上述した点から明らかなように、本発明のガラス基板保持手段において、位置決め手段は必須の構成要件ではない。
図2(B)に示すように、位置決め手段130はガラス基板200の側面および底面(裏面)に対して傾斜したガイド面を有しており、ガラス基板保持手段100にガラス基板200を載置する際に、該ガイド面がガラス基板200の側面と底面(裏面)との境界をなす辺(以下、本明細書において、「ガラス基板の底辺」という。)と当接する。ここで、位置決め手段130のガイド面は、ガラス基板200の側面および底面(裏面)に対して傾斜しているので、位置決め手段130のガイド面にガラス基板200の底辺を当接させた際、ガラス基板200は自重によって下方に移動する。ここで、位置決め手段130をガラス基板保持手段100の静電チャック機構110に対して適切な位置に配置しておけば、ガラス基板200は自重によって下方に移動することで、ガラス基板保持手段100とガラス基板200との位置関係、より具体的には、ガラス基板保持手段100の静電チャック機構110とガラス基板200との位置関係が適切な状態となるように位置決めすることができる。
図4(a)〜(e)は、ガラス基板保持手段100にガラス基板200を載置する際に、位置決め手段130によって、ガラス基板保持手段100とガラス基板200との位置関係が適切な状態となるように位置決めする手順を示した図であり、図1中B−B´線に沿った断面図に相当する。但し、理解を容易にするため、ガラス基板保持手段100の構成要素のうち、マスク部150と該マスク部150に取り付けられた機械的チャック機構の押圧部120は省略されている。
図4(a)〜(e)では、ガラス基板200の搬送手段として、ロボットアーム300を使用する。図4(a)において、ガラス基板200はガラス基板保持手段100の外部でロボットアーム300に載せられている。この状態から、ロボットアーム300を前進させて、図4(b)に示すように、ガラス基板200をガラス基板保持手段100の静電チャック機構110の上方に移動させる。ここで、ロボットアーム300を図中左方向に移動させることをロボットアーム300を前進させると言い、ロボットアーム300を図中右方向に移動させることをロボットアーム300を後退させると言う。また、ロボットアーム300を図中上方向に移動させることをロボットアーム300を上昇させると言い、ロボットアーム300を図中下方向に移動させることをロボットアーム300を下降させると言う。
次に、ロボットアーム300を下降させて、図4(c)に示すように、ガラス基板200の底辺を位置決め手段130のガイド面に当接させる。図4(c)では図中左側にのみ位置決め手段130が示されているが、図1に示すように、ガラス基板200の4辺全てに対して、位置決め手段130が存在する。ここで、図中、右側のみ位置決め手段130の位置が異なるのは、図中右側からロボットアーム300が進入することを想定しているためである。
その後、ロボットアーム300をさらに下降させて、ガラス基板200裏面の四隅の端部付近を支持ピン140で保持し、ロボットアーム300によるガラス基板200の保持を解く。
ここで、ガラス基板200の底辺を位置決め手段130のガイド面に当接した状態からロボットアーム300を下降させると、ガラス基板200が自重によって下方に移動することによって、ガラス基板保持手段100の静電チャック機構110とガラス基板200との位置関係が適切な状態となるように位置決めされ、その後にガラス基板200裏面の四隅の端部付近が支持ピン140で保持される。この手順を達成するため、位置決め手段130のガイド面のガラス基板200の底辺と当接する部位が、ガラス基板200の支持ピン140の上端よりも上方に位置する必要がある。
次に、図4(d)に示すように、ロボットアーム300をガラス基板保持手段100の外部に後退させる。図2(B)はこの段階に相当する。
次に、支持ピン140と位置決め手段130(実際には位置決め手段130が取り付けられたマスク150)を下降させて、図4(e)に示すように、ガラス基板200の裏面を静電チャック機構110の吸着保持面(吸着保持部111の上面)と接触させることによって、ガラス基板200が静電チャック機構110で吸着保持される。
本発明のガラス基板保持手段において、位置決め手段130のガイド面と、ガラス基板200の底面(裏面)と、がなす角度は5度以上90度未満である。
両者がなす角度が5度未満の場合、ガラス基板200の底辺を位置決め手段130のガイド面に当接させた際にガラス基板200の保持が不十分となり、ガラス基板200が自重によって下方に移動する際にガラス基板200が傾くおそれがある。自重によって下方に移動する際にガラス基板200が傾くと、静電チャック機構110とガラス基板200との位置関係が適切な状態となるように位置決めできなくなるおそれがあるうえ、支持ピン140と接した際にガラス基板200が水平方向に移動することによって、ガラス基板200の裏面に傷が発生するおそれがある。
両者がなす角度は20〜70度であることが好ましく、30〜60度であることがより好ましい。
図示した態様において、支持部材130のガイド面は平面をなしているが、支持部材130のガイド面は湾曲面であってもよい。この場合、ガイド面をなす湾曲面のうち、ガラス基板の底辺と当接する位置における接線と、ガラス基板200の底面(裏面)と、がなす角度が、上記した位置決め手段のガイド面と、ガラス基板の底面(裏面)と、がなす角度に該当する。
図1では、ガラス基板200の4辺全てに対して支持部材130が設けられているが、これに限定されない。
本発明のガラス基板保持手段では、ガラス基板の4つの底辺のうち、少なくとも直交する2辺(例えば、図1中、上側の辺と左側の辺)に対して支持部材が設ければ、ガラス基板保持手段100の静電チャック機構110とガラス基板200との位置関係が適切な状態となるように位置決めすることができる。
本発明のガラス基板保持手段では、ガラス基板の4つの底辺のうち、少なくとも直交する2辺に対して1つずつ位置決め手段を設ければ、ガラス基板保持手段の静電チャック機構とガラス基板との位置関係が適切な状態となるように位置決めすることができる。
本発明のガラス基板保持手段では、ガラス基板の4つの底辺のうち、3辺に対して支持部材を設けることが好ましく、4辺全てに対して支持部材を設けることがより好ましい。
また、図1に示すガラス基板保持手段100では、ガラス基板200の4つの底辺のうち、3辺については1つの位置決め手段130、図中右側の辺については2つの位置決め手段130が設けられているが、各底辺に対する位置決め手段の数はこれに限定されず、各辺に対して2つ以上の位置決め手段を設けてもよく、各辺に対して位置決め手段を1つずつ設けたのでもよい。
本発明のガラス基板保持手段において、ガラス基板の4つの底辺のうち、直交する2辺に対して1つずつ位置決め手段が設ける場合、位置決め手段が設けられた底辺の長さをL(mm)とするとき、該底辺のうち、位置決め手段のガイド面と当接する部分の端部と、該底辺の端部と、の距離が0.1L以上となる位置に位置決め手段を設けることが好ましい。
直交する2つの底辺に対して1つずつ位置決め手段が設けた場合に、位置決め手段のガイド面と当接する部分の端部と、該底辺の端部と、の距離が0.1L未満だと、ガラス基板200の底辺を位置決め手段130のガイド面に当接させた際にガラス基板200の保持が不十分となり、ガラス基板200が自重によって下方に移動する際にガラス基板200が傾くおそれがある。自重によって下方に移動する際にガラス基板200が傾くと、静電チャック機構110とガラス基板200との位置関係が適切な状態となるように位置決めできなくなるおそれがあるうえ、支持ピン140と接した際にガラス基板200が水平方向に移動することによって、ガラス基板200の裏面に傷が発生するおそれがある。
直交する2つの底辺に対して1つずつ位置決め手段が設ける場合に、支持部材のガイド面と当接する部分の端部と、該底辺の端部と、の距離が0.2L以上であることが好ましく、0.3L以上であることがより好ましい。
ガラス基板の4つの底辺のうち、直交する2辺に対して位置決め手段が設ける場合であっても、各底辺に対して2つ以上の位置決め手段を設ければ、上記の問題が起こらないため、支持部材のガイド面と当接する部分の端部と、該底辺の端部と、の距離がこれに限定されない。
本発明のガラス基板保持手段において、位置決め手段は、ガラス基板の底辺との当接時に破損することがないよう、十分な硬度を有している必要がある。
しかしながら、位置決め手段がガラス基板よりも高い硬度を有していると、当接時にガラス基板に傷が生じるおそれがある。
このため、位置決め手段はガラス基板よりも硬度が低い材料で作成されていることが好ましい。
位置決め手段の硬度の目安としては、ビッカース硬さ(HV)が650未満であることが好ましく、100以下であることがより好ましく、30以下であることがさらに好ましい。
また、本発明のガラス基板保持手段において、位置決め手段は、EUVマスクブランクスの製造時に実施される成膜プロセス下に置かれるため、成膜容器内の真空雰囲気下において、ガス成分を放出しないことが好ましい。さらに、成膜装置では基板などが熱を持つ場合があるため、位置決め手段は、少なくとも約150℃程度まで化学的・物理的に耐熱性を有していることが好ましい。
以上の点を満たすことを考慮すると、位置決め手段の構成材料としては、ポリエーテルエーテルケトン(PEEK)、ポリイミド、ポリベンゾイミダゾール(PBI)樹脂、フッ素樹脂、フッ素ゴム、等の樹脂材料やエラストマー材料を用いることができる。これらの中でも、ポリエーテルエーテルケトン(PEEK)、ポリイミド、フッ素ゴム、が好ましく、ポリエーテルエーテルケトン(PEEK)がより好ましい。
次に、本発明のEUVマスクブランクスの製造方法について説明する。
EUVマスクブランクスは、ガラス基板の成膜面にEUV光を反射する反射層、および、EUV光を吸収する吸収層がこの順に形成されたものを基本構成とする。EUVマスクブランクスの反射層としては、EUV波長域において高反射率を達成できることから、高屈折率膜と低屈折率膜とを交互に複数回積層させた多層反射膜が広く用いられている。
本発明の方法によって製造されるEUVマスクブランクスは、上記以外の各種機能層を有していてもよい。このような機能層の具体例としては、反射層の表面が酸化されるのを防止する目的で反射層上に必要に応じて形成される反射層の保護層、パターニングの際に反射層がダメージを受けるのを防止する目的で反射層と吸収層との間に必要に応じて形成されるバッファ層、マスクパターンの検査時のコントラストを向上させる目的で吸収層上に必要に応じて形成されるマスクパターンの検査光に対する低反射層が挙げられる。
また、ガラス基板の裏面には裏面導電膜を有していてもよい。
本発明のEUVマスクブランクス製造方法では、マグネトロンスパッタリング法、イオンビームスパッタリング法といったスパッタリング法、CVD法、および、真空蒸着法といった乾式成膜法によって、ガラス基板の成膜面上に反射層および吸収層を形成する。
必要に応じて形成される上記の各種機能膜を有するEUVマスクブランクスを製造する場合には、乾式成膜法によってガラス基板の成膜面上に上記の各種機能膜を形成する。
これらの成膜法のうち、いずれを使用するかは形成する膜によって適宜選択することができるが、マグネトロンスパッタリング法、イオンビームスパッタリング法といったスパッタリング法が、均質な膜厚を作成し易い点、タクトが短い点から好ましい。
本発明のEUVマスクブランクス製造方法を用いて、上述した基本構成のEUVマスクブランクスを製造する場合、乾式成膜法によって反射層および吸収層のうち少なくとも1つを形成する際に、本発明のガラス基板保持手段を用いてガラス基板を保持する。
したがって、反射層および吸収層のうち、いずれか一方を形成する際のみ、本発明のガラス基板保持手段を用いてガラス基板を保持し、残りの一方を形成する際には他の保持手段(例えば、通常の静電チャック)を用いてガラス基板を保持してもよい。
但し、上述したように、本発明のガラス基板保持手段でガラス基板を保持した場合、EUVマスクブランクの製造時において、ガラス基板の位置ずれや脱離が生じることがなく、かつ、保持によるガラス基板への傷の発生や異物の付着を抑制することができることから、これら全てを形成する際に、本発明のガラス基板保持手段を用いてガラス基板を保持することが好ましい。
必要に応じて形成される上記の各種機能膜を有するEUVマスクブランクスを製造する場合には、乾式成膜法によって上記各種機能膜を形成する際にも、本発明のガラス基板保持手段を用いてガラス基板を保持することが好ましい。
ここで、上述したように、基板位置決め手段を有するガラス基板保持手段に用いることにより、ガラス基板保持手段とガラス基板との位置関係が適切な状態となるように位置決めすることができる。
上述した基本構成のEUVマスクブランクスの吸収層を形成する前の状態、すなわち、ガラス基板の成膜面上に反射層を形成したものが本発明の方法により製造されるEUVL用反射層付基板であり、EUVマスクブランクスの前駆体をなすものである。
本発明のEUVL用反射層付基板の製造方法では、ガラス基板の成膜面上に、乾式成膜法によって反射層を形成する際に、本発明のガラス基板保持手段を用いてガラス基板を保持する。
以下、本発明の方法により製造されるEUVマスクブランクスの構成例を示す。
ガラス基板は、EUVマスクブランクス用の基板としての特性を満たすことが要求される。
そのため、ガラス基板は、低熱膨張係数(0±1.0×10-7/℃であることが好ましく、より好ましくは0±0.3×10-7/℃、さらに好ましくは0±0.2×10-7/℃、さらに好ましくは0±0.1×10-7/℃、特に好ましくは0±0.05×10-7/℃)を有し、平滑性、平坦度、およびマスクブランクスまたはパターン形成後のフォトマスクの洗浄等に用いる洗浄液への耐性に優れたものが好ましい。ガラス基板としては、具体的には低熱膨張係数を有するガラス、例えばSiO2−TiO2系ガラス等を用いることができる。
ガラス基板は、0.15nm rms以下の平滑な表面と100nm以下の平坦度を有していることがパターン形成後のフォトマスクにおいて高反射率および転写精度が得られるために好ましい。
ガラス基板の大きさや厚みなどはマスクの設計値等により適宜決定されるものである。後で示す実施例では外形6インチ(152.4mm)角で、厚さ0.25インチ(6.3mm)のSiO2−TiO2系ガラスを用いた。
ガラス基板の成膜面、特に成膜面の品質保証領域には欠点が存在しないことが好ましい。しかし、存在している場合であっても、凹状欠点および/または凸状欠点によって位相欠点が生じないように、凹状欠点の深さおよび凸状欠点の高さが2nm以下であり、かつこれら凹状欠点および凸状欠点の半値幅が60nm以下であることが好ましい。
成膜面外周部については、品質保証領域に転写される可能性のある、高さが2nmを超える、もしくは半値幅が60nmを超える凸状欠点が存在しないことが好ましい。
ガラス基板の裏面、特に裏面の品質保証領域については、高さが1μmを超える凸状欠点が存在しないことが好ましい。また、裏面外周部についても、裏面の品質保証領域に転写される可能性があるので、高さ1μm以上の凸状欠点は存在しないことが好ましい。
EUVマスクブランクスの反射層に特に要求される特性は、高EUV光線反射率であることである。具体的には、EUV光の波長領域の光線を反射層表面に入射角度6度で照射した際に、波長13.5nm付近の光線反射率の最大値が60%以上であることが好ましく、65%以上であることがより好ましい。
EUVマスクブランクの反射層としては、EUV波長域において高反射率を達成できることから、高屈折率膜と低屈折率膜とを交互に複数回積層させた多層反射膜が広く用いられている。多層反射膜の具体例としては、高屈折率膜としてのMo膜と、低屈折率膜としてのSi膜とを交互に複数回積層させたMo/Si多層反射膜が挙げられる。
Mo/Si多層反射膜の場合に、EUV光線反射率の最大値が60%以上の反射層とするには、膜厚2.3±0.1nmのMo層と、膜厚4.5±0.1nmのSi層とを繰り返し単位数が30〜60になるように積層させればよい。
なお、Mo/Si多層反射膜を構成する各層は、乾式成膜法、具体的にはマグネトロンスパッタリング法、イオンビームスパッタリング法などのスパッタリング法を用いて所望の厚さになるように成膜すればよい。例えば、イオンビームスパッタリング法を用いてMo/Si多層反射膜を形成する場合、ターゲットとしてMoターゲットを用い、スパッタガスとしてArガス(ガス圧1.3×10-2Pa〜2.7×10-2Pa)を使用して、イオン加速電圧300〜1500V、成膜速度0.03〜0.30nm/secで厚さ2.3nmとなるようにMo層を成膜し、次に、ターゲットとしてSiターゲットを用い、スパッタガスとしてArガス(ガス圧1.3×10-2Pa〜2.7×10-2Pa)を使用して、イオン加速電圧300〜1500V、成膜速度0.03〜0.30nm/secで厚さ4.5nmとなるようにSi層を成膜することが好ましい。これを1周期として、Mo層およびSi層を40〜50周期積層させることによりMo/Si多層反射膜が成膜される。
吸収層に特に要求される特性は、EUV光線反射率が極めて低いことである。具体的には、EUV光の波長領域の光線を吸収体層表面に照射した際に、波長13.5nm付近の最大光線反射率が0.5%以下であることが好ましく、0.1%以下であることがより好ましい。
上記の特性を達成するため、EUV光の吸収係数が高い材料で構成されることが好ましい。EUV光の吸収係数が高い材料の具体例としては、タンタル(Ta)を主成分とする材料が挙げられる。
タンタル(Ta)を主成分とする材料で構成される吸収層の具体例としては、Ta、B、Siおよび窒素(N)を以下に述べる比率で含有する吸収層(TaBSiN膜)が挙げられる。
Bの含有率 1at%以上5at%未満、好ましくは1〜4.5at%、より好ましくは1.5〜4at%
Siの含有率 1〜25at%、好ましくは1〜20at%、より好ましくは2〜12at%
TaとNとの組成比(Ta:N) 8:1〜1:1
Taの含有率 好ましくは50〜90at%、より好ましくは60〜80at%
Nの含有率 好ましくは5〜30at%、より好ましくは10〜25at%
上記組成の吸収層(TaBSiN膜)は、その結晶状態はアモルファスであり、表面の平滑性に優れている。
上記組成の吸収層(TaBSiN膜)であれば、吸収層表面の表面粗さを0.5nm rms以下とすることができる。吸収層表面の表面粗さが大きいと、吸収層に形成されるパターンのエッジラフネスが大きくなり、パターンの寸法精度が悪くなる。パターンが微細になるに従いエッジラフネスの影響が顕著になるため、吸収体表面は平滑であることが要求される。
吸収層表面の表面粗さが0.5nm rms以下であれば、吸収層表面が十分平滑であるため、エッジラフネスの影響によってパターンの寸法精度が悪化するおそれがない。
吸収層の厚さは、50〜100nmであることが好ましい。
上記組成の吸収層(TaBSiN膜)は、乾式成膜法、具体的にはマグネトロンスパッタリング法、イオンビームスパッタリング法などのスパッタリング法を用いて形成することができる。マグネトロンスパッタリング法を用いる場合、下記(1)〜(3)の方法で吸収層(TaBSiN膜)を形成することができる。
(1)Taターゲット、BターゲットおよびSiターゲットを使用し、Arで希釈した窒素(N2)雰囲気中でこれらの個々のターゲットを同時に放電させることによって吸収層(TaBSiN膜)を形成する。
(2)TaB化合物ターゲットおよびSiターゲットを用いて、これらのターゲットをArで希釈したN2雰囲気中で同時放電させることによって吸収層(TaBSiN膜)を形成する。
(3)TaBSi化合物ターゲットを用いて、この3元素が一体化されたターゲットをArで希釈したN2雰囲気中で放電させることによって吸収層(TaBSiN膜)を形成する。
なお、上述した方法のうち、2以上のターゲットを同時に放電させる方法((1)、(2))では、各ターゲットの投入電力を調節することによって、形成される吸収層の組成を制御することができる。
上記の中でも(2)および(3)の方法が、放電の不安定化や膜の組成や膜厚のばらつきを回避できる点で好ましく、(3)の方法が特に好ましい。TaBSi化合物ターゲットは、その組成がTa=50〜94at%、Si=5〜30at%、B=1〜20at%であることが、放電の不安定化や膜の組成や膜厚のばらつきを回避できる点で特に好ましい。
上記例示した方法で吸収層(TaBSiN膜)を形成するには、具体的には以下の成膜条件で実施すればよい。
TaB化合物ターゲットおよびSiターゲットを使用する方法(2)
スパッタガス:ArとN2の混合ガス(N2ガス濃度3〜80vol%、好ましくは5〜30vol%、より好ましくは8〜15vol%。ガス圧1.0×10-1Pa〜10×10-1Pa、好ましくは1.0×10-1Pa〜5×10-1Pa、より好ましくは1.0×10-1Pa〜3×10-1Pa。)
投入電力(各ターゲットについて):30〜1000W、好ましくは50〜750W、より好ましくは80〜500W
成膜速度:2.0〜60nm/sec、好ましくは3.5〜45nm/sec、より好ましくは5〜30nm/sec
TaBSi化合物ターゲットを使用する方法(3)
スパッタガス:ArとN2の混合ガス(N2ガス濃度3〜80vol%、好ましくは5〜30vol%、より好ましくは8〜15vol%。ガス圧1.0×10-1Pa〜10×10-1Pa、好ましくは1.0×10-1Pa〜5×10-1Pa、より好ましくは1.0×10-1Pa〜3×10-1Pa。)
投入電力:30〜1000W、好ましくは50〜750W、より好ましくは80〜500W
成膜速度:2.0〜60nm/sec、好ましくは3.5〜45nm/sec、より好ましくは5〜30nm/sec
以下に、実施例を用いて本発明を詳細に説明する。ただし、本発明はこれに限定されるものではない。
(実施例1)
実施例1では、152.4mm角のガラス基板200(厚さは6.3mm)を図1〜3に示すガラス基板保持手段100で保持した。EUVマスクブランクスの基板として使用する場合、152.4mm角のガラス基板の品質保証領域は、成膜面側が中央148mm角であり、裏面側が中央146mm角である。ガラス基板200は、主成分をSiO2としたゼロ膨張ガラス(SiO2−TiO2系ガラス)製であり、22℃における熱膨張係数が0/℃であり、ビッカース硬さ(HV)が650である。
静電チャック機構110の最表層をなす誘電体層(アピカル(登録商標名、カネカ社製))にエンボス加工を施すことによって、150mm角の上面のうち、幅1mmの外周部に高さ50μmの凸部(吸着保持部111)が設けた。
ガラス基板保持手段100において、マスク150の開口部は150mm角であり、該マスク150に取り付けられた機械的チャック機構の押圧部120および位置決め手段130は以下の通りである。
押圧部120
押圧部120の押圧面と、ガラス基板200の成膜面と、のなす角度:45度
材質:PEEK(ビッカース硬さ(HV)=30)
位置決め手段130
位置決め手段130のガイド面と、ガラス基板200の底面と、がなす角度:50度
材質:PEEK(ビッカース硬さ(HV)=30)
図4(a)〜(e)に示す手順にしたがって、ガラス基板200の裏面を静電チャック機構110により吸着保持した。すなわち、ロボットアーム300を用いてガラス基板200を静電チャック機構110の上方に搬送し、ガラス基板200の底辺を位置決め手段130のガイド面に当接させた後、ガラス基板200を自重によって下降させることによって静電チャック機構110とガラス基板200との位置関係が適切な状態となるように位置決めした。その後、ガラス基板200裏面の四隅を支持ピン140で保持し、該支持ピン140と位置決め手段130(実際には位置決め手段130が取り付けられたマスク150)を下降させてガラス基板200の裏面を静電チャック機構110の吸着保持面(吸着保持部111の上面)と接触させることによって、ガラス基板200を静電チャック機構110で吸着保持した。
次に、押圧部120が取り付けられたマスク150を下降させることによって、図3(A)に示すように、押圧部120と、静電チャック110の凸部111と、でガラス基板200を挟持した。
静電チャック機構110によるガラス基板200の吸着保持力、および、機械的チャック機構の押圧部120によるガラス基板の保持力は、それぞれ以下の通りであった。
静電チャック機構110による吸着保持力:150kgf
押圧部120による保持力:100kgf
(単位面積当たりの押圧力:1kgf/mm2
EUVマスクブランクス製造時に実施される成膜プロセス時の状況を再現するため、ガラス基板保持手段100を30rpmで回転させながら30分間保持した。
上記の手順の実施前後でのガラス基板200の位置ずれを以下の手順で測定した。
ガラス基板の位置ずれ測定方法(1)
ロボットアーム300の表面にあらかじめ目盛を記入しておき、図4(a)の段階で写真を撮影した。次いで、ガラス基板保持手段100の回転終了後、ロボットアーム300を用いて、ガラス基板保持手段100からガラス基板200を取りだした段階で再び撮影して両者の比較からガラス基板200の位置ずれの有無を判定した。
判定の結果、ガラス基板200の位置ずれは0.5mm未満であり、検知されなかった。
上記の手順の実施後、ガラス基板200の成膜面(上面)および裏面における欠点数を以下の手順で測定した。
欠点数の測定方法
ガラス基板保持手段100から取りだしたガラス基板200の成膜面(上面)および裏面について、市販の欠陥検査装置(レーザーテック社製M1350)にて200nm以上の欠陥数を測定した。ここで、検査領域は152mm□の領域全体とし、成膜面については基板中央の148mm□の領域(中心部)と、該148mm□を除いた領域(外周部)に、裏面については基板中央の144mm□の領域(中心部)と、該144mm□を除いた領域(外周部)を対象に欠点数をそれぞれ評価した。その結果、成膜面および外周部(中心部および外周部のいずれも)における200nm以上の欠陥数は0個であった。
(実施例2)
静電チャック機構110によるガラス基板200の吸着保持力、および、機械的チャック機構の押圧部120によるガラス基板の保持力をそれぞれ以下の通りとした。また、押圧部120のガラス基板200との接触面積をより小さくすることにより、単位面積当たりの押圧力を変更した。それ以外は実施例1と同様の手順を実施した。
静電チャック機構110による吸着保持力:50kgf
押圧部120による保持力:200kgf
(単位面積当たりの押圧力:20kgf/mm2
ガラス基板200の位置ずれは0.5mm未満であり、検知されなかった。また、ガラス基板200の成膜面および裏面(中心部および外周部のいずれも)における200nm以上の欠陥数は0個であった。
(比較例1)
静電チャック機構110によるガラス基板200の吸着保持力、および、機械的チャック機構の押圧部120によるガラス基板の保持力をそれぞれ以下の通りとした以外は実施例1と同様の手順を実施した。
静電チャック機構110による吸着保持力:50kgf
押圧部120による保持力:100kgf
(単位面積当たりの押圧力:1kgf/mm2
上記の手順実施前後でガラス基板200に1mmの位置ずれがみられた。ガラス基板の位置ずれが認められたため、欠点数の測定は実施しなかった。
(比較例2)
静電チャック機構110によるガラス基板200の吸着保持力、および、機械的チャック機構の押圧部120によるガラス基板の保持力をそれぞれ以下の通りとした。また、押圧部120のガラス基板200との接触面積をより小さくすることにより、単位面積当たりの押圧力を変更した。それ以外は実施例1と同様の手順を実施した。
静電チャック機構110による吸着保持力:50kgf
押圧部120による保持力:100kgf
(単位面積当たりの押圧力:30kgf/mm2
上記の手順実施前後でガラス基板200に1.5mmの位置ずれがみられた。ガラス基板の位置ずれが認められたため、欠点数の測定は実施しなかった。
(比較例3)
また、押圧部120のガラス基板200との接触面積をより小さくすることにより、単位面積当たりの押圧力を変更したこと以外は実施例1と同様の手順を実施した。
静電チャック機構110による吸着保持力:150kgf
押圧部120による保持力:100kgf
(単位面積当たりの押圧力:30kgf/mm2
ガラス基板200の位置ずれは0.5mm未満であり、検知されなかった。しかし、ガラス基板200の成膜面外周部における200nm以上の欠陥数が20個であった。
(比較例4)
外周部に凸部を設けていない静電チャック機構110(この場合、静電チャック機構の上面全体が吸着保持面となる)を使用し、静電チャック機構110によるガラス基板200の吸着保持力、および、機械的チャック機構の押圧部120によるガラス基板の保持力をそれぞれ以下の通りとした以外は実施例1と同様の手順を実施した。
静電チャック機構110による吸着保持力:50kgf
押圧部120による保持力:100kgf
(単位面積当たりの押圧力:1kgf/mm2
上記の手順実施前後でガラス基板200に1.5mmの位置ずれがみられた。ガラス基板の位置ずれが認められたため、欠点数の測定は実施しなかった。
(比較例5)
また、押圧部120のガラス基板200との接触面積をより小さくすることにより、単位面積当たりの押圧力を変更した以外は比較例4と同様の手順を実施した。
静電チャック機構110による吸着保持力:50kgf
押圧部120による保持力:100kgf
(単位面積当たりの押圧力:30kgf/mm2
上記の手順実施前後でガラス基板200に1.5mmの位置ずれがみられた。ガラス基板の位置ずれが認められたため、欠点数の測定は実施しなかった。
(比較例6)
静電チャック機構110によるガラス基板200の吸着保持力、および、機械的チャック機構の押圧部120によるガラス基板の保持力をそれぞれ以下の通りとした以外は比較例4と同様の手順を実施した。
静電チャック機構110による吸着保持力:150kgf
押圧部120による保持力:100kgf
(単位面積当たりの押圧力:1kgf/mm2
ガラス基板200の位置ずれは0.5mm未満であり、検知されなかった。しかし、ガラス基板200の裏面中心部における200nm以上の欠陥数が220個であった。
(比較例7)
また、押圧部120のガラス基板200との接触面積をより小さくすることにより、単位面積当たりの押圧力を変更したこと以外は比較例5と同様の手順を実施した。
静電チャック機構110による吸着保持力:150kgf
押圧部120による保持力:100kgf
(単位面積当たりの押圧力:30kgf/mm2
ガラス基板200の位置ずれは0.5mm未満であり、検知されなかった。しかし、ガラス基板200の裏面中心部における200nm以上の欠陥数が112個、成膜面外周部における200nm以上の欠陥数が11個であった。
(参考例1)
本例では位置決め手段130による位置決め効果を評価した。具体的には、実施例1と同様に、図4(a)〜(e)に示す手順にしたがって、ロボットアーム300を用いてガラス基板200を静電チャック機構110の上方に搬送し、ガラス基板200の底辺を位置決め手段130のガイド面に当接させた後、ガラス基板200を自重によって下降させることによって静電チャック機構110とガラス基板200との位置関係が適切な状態となるように位置決めした。その後、ガラス基板200裏面の四隅を支持ピン140で保持し、支持ピン140と位置決め手段130(実際には位置決め手段130が取り付けられたマスク150)を下降させて該支持ピン140を下降させてガラス基板200の裏面を静電チャック機構110の吸着保持面(吸着保持部111の上面)と接触させることによって、際のガラス基板200の位置ずれを以下の手順で測定した。
ガラス基板の位置ずれ測定方法(2)
実施例1と同様に、ガラス基板200を静電チャック機構110と機械的チャック機構と保持した状態で、段落番号[0067]に記載する手順でガラス基板200の成膜面にMo/Si多層反射膜を形成した。ここで、静電チャック機構110によるガラス基板200の吸着保持力、押圧部120によるガラス基板の保持力、および、押圧部120による単位面積当たりの押圧力は実施例1と同一であり、Mo/Si多層反射膜の形成時、ガラス基板200はガラス基板保持手段ごと30rpmで回転させた。Mo/Si多層反射膜の形成後、ガラス基板200の成膜面側を写真で撮影した。ガラス基板200の外形の矩形と、成膜エリアの矩形と、が、図1における上下方向・左右方向で平行かつ等間隔であるかを画像解析評価して位置ずれの有無を判定した。
判定の結果、ガラス基板200の外形の矩形と、成膜エリアの矩形と、は平行であり、図1における上下方向・左右方向の間隔の差はいずれも0.05mm未満であった。
(参考例2)
図1において、図中上側と左側の2個所のみに位置決め手段を設けたガラス基板保持手段を用いて、参考例1と同様の手順を実施した。
ガラス基板200の外形の矩形と、成膜エリアの矩形と、は平行であり、図1における上下方向・左右方向の間隔の差はいずれも0.05mm未満であった。
(参考例3)
ガイド面と、ガラス基板の底面と、がなす角度が5度の位置決め手段を設けたガラス基板保持手段を用いて、参考例1と同様の手順を実施した。
ガラス基板200の外形の矩形と、成膜エリアの矩形と、は平行であり、図1における上下方向・左右方向の間隔の差はいずれも0.05mm未満であった。
(参考例4)
ガイド面と、ガラス基板の底面と、がなす角度が80度の位置決め手段を設けたガラス基板保持手段を用いて、参考例1と同様の手順を実施した。
ガラス基板200の外形の矩形と、成膜エリアの矩形と、は平行であり、上下方向・左右方向の間隔の差はいずれも0.05mm未満であった。
(参考例5)
図1において、図中上側と下側の2個所のみに位置決め手段を設けたガラス基板保持手段を用いて、参考例1と同様の手順を実施した。
ガラス基板200の外形の矩形と、成膜エリアの矩形と、は平行であった。図1における上下方向の間隔の差は0.05mm未満であったが、左右方向の間隔の差は0.6mmであった。
(参考例6)
図1において、図中上側1個所のみに位置決め手段を設けたガラス基板保持手段を用いて、参考例1と同様の手順を実施した。
施した。
ガラス基板200の外形の矩形と、成膜エリアの矩形と、は平行であった。図1における上下方向の間隔の差は0.05mm未満であったが、左右方向の間隔の差は0.9mmであった。
(参考例7)
ガイド面と、ガラス基板の底面と、がなす角度が3度の位置決め手段を設けたガラス基板保持手段を用いて、参考例1と同様の手順を実施した。
ガラス基板200の外形の矩形と、成膜エリアの矩形と、はわずかに平行から外れており、図1における上下・左右方向の間隔の差は最大0.3mmであった。
(参考例8)
図1において、図中上側と左側の2個所のみに位置決め手段を設け、かつ、ガラス基板200の位置決め手段のガイド面と当接する部分の端部と、ガラス基板の端部と、の距離を7.6mmとしたガラス基板保持手段を用いて、参考例1と同様の手順を実施した。
ガラス基板200の外形の矩形と、成膜エリアの矩形と、はわずかに平行から外れており、図1における上下・左右方向の間隔の差は最大0.6mmであった。
100:ガラス基板保持手段
110:静電チャック機構
111:吸着保持部
120:押圧部
130:位置決め手段
140:支持ピン
150:マスク部
200:ガラス基板
300:基板搬送用アーム

Claims (11)

  1. EUVリソグラフィ(EUVL)用反射型マスクブランクスの製造時に用いられるガラス基板の保持手段であって、
    前記ガラス基板の保持手段は、
    ガラス基板の裏面の一部を吸着保持する静電チャック機構と、
    押圧部を有し、該押圧部で前記ガラス基板の成膜面側の一部を押圧することにより、前記ガラス基板を成膜面側および裏面側から挟持して保持する機械的チャック機構と、を有し、
    前記静電チャック機構による前記ガラス基板の被吸着保持部、および、前記機械的チャック機構による前記ガラス基板の被押圧部が、それぞれ前記ガラス基板の成膜面および裏面の品質保証領域より外側にあり、
    前記静電チャック機構による前記ガラス基板の吸着保持力と、前記機械的チャック機構による前記ガラス基板の保持力と、の和が、200kgf以上であり、
    前記機械的チャック機構による前記ガラス基板の単位面積当たりの押圧力が、25kgf/mm2以下であり、
    前記押圧部の押圧面と、前記ガラス基板の成膜面と、のなす角度が5〜70度であることを特徴とするガラス基板保持手段。
  2. 前記機械的チャック機構の前記押圧部が、前記ガラス基板よりも硬度が低い材料で作成されている、請求項1に記載のガラス基板保持手段
  3. 平面形状が矩形のガラス基板を保持する手段であって、前記機械的チャック機構による前記ガラス基板の被押圧部が2個所以上存在し、該被押圧部のうち少なくとも2つがそれぞれ、ガラス基板の成膜面の外縁をなす4辺のうち対向する2辺を含む位置、若しくは、該2辺に近接する位置に存在することを特徴とする請求項1または2に記載のガラス基板保持手段
  4. 平面形状が矩形のガラス基板を保持する手段であって、さらに、下記を満たす基板の位置決め手段を有することを特徴とする請求項1〜3のいずれかに記載のガラス基板保持手段。
    (1)前記位置決め手段は、前記ガラス基板の側面および底面に対して傾斜したガイド面もしくはガイド湾曲面を有し、前記ガラス基板保持手段にガラス基板を載置する際に、該ガイド面もしくはガイド湾曲面が、前記ガラス基板の側面と底面との境界をなす辺と当接する。
    (2)前記位置決め手段は、基板の側面と底面との境界をなす4辺のうち、直交する2辺に対して少なくとも1つずつ設けられている。
    (3)前記位置決め手段のガイド面もしくはガイド湾曲面と、前記ガラス基板の側面と底面との境界をなす辺と、が当接した際に、該ガイド面もしくはガイド湾曲面と、該ガラス基板の底面と、のなす角度が5度以上90度未満である
  5. EUVリソグラフィ(EUVL)用反射型マスクブランクスの製造時に用いられるガラス基板の保持手段であって、
    前記ガラス基板の保持手段は、
    ガラス基板の裏面の一部を吸着保持する静電チャック機構と、
    押圧部を有し、該押圧部で前記ガラス基板の成膜面側の一部を押圧することにより、前記ガラス基板を成膜面側および裏面側から挟持して保持する機械的チャック機構と、を有し、
    前記静電チャック機構による前記ガラス基板の被吸着保持部、および、前記機械的チャック機構による前記ガラス基板の被押圧部が、それぞれ前記ガラス基板の成膜面および裏面の品質保証領域より外側にあり、
    前記静電チャック機構による前記ガラス基板の吸着保持力と、前記機械的チャック機構による前記ガラス基板の保持力と、の和が、200kgf以上であり、
    前記機械的チャック機構による前記ガラス基板の単位面積当たりの押圧力が、25kgf/mm 2 以下であり、
    さらに、下記を満たす基板の位置決め手段を有することを特徴とするガラス基板保持手段。
    (1)前記位置決め手段は、前記ガラス基板の側面および底面に対して傾斜したガイド面もしくはガイド湾曲面を有し、前記ガラス基板保持手段にガラス基板を載置する際に、該ガイド面もしくはガイド湾曲面が、前記ガラス基板の側面と底面との境界をなす辺と当接する。
    (2)前記位置決め手段は、基板の側面と底面との境界をなす4辺のうち、直交する2辺に対して少なくとも1つずつ設けられている。
    (3)前記位置決め手段のガイド面もしくはガイド湾曲面と、前記ガラス基板の側面と底面との境界をなす辺と、が当接した際に、該ガイド面もしくはガイド湾曲面と、該ガラス基板の底面と、のなす角度が5度以上90度未満である
  6. 前記直交する2辺に対して前記位置決め手段が1つずつ設けられており、
    前記位置決め手段が設けられた辺の長さをL(mm)とするとき、前記辺のうち、前記ガイド面もしくはガイド湾曲面と当接する部分の端部と、前記辺の端部と、の距離が0.1L以上であることを特徴とする請求項4または5に記載のガラス基板保持手段。
  7. 前記位置決め手段が前記ガラス基板よりも硬度が低い材料で作成されている、請求項4〜6のいずれかに記載のガラス基板保持手段。
  8. ガラス基板の一方の面にEUV光を反射する反射層が形成されたEUVリソグラフィ用(EUVL)用反射層付基板の製造方法であって、
    乾式成膜法によって、前記ガラス基板上に前記反射層を形成する際に、請求項1〜のいずれかに記載のガラス基板保持手段を用いてガラス基板を保持することを特徴とするEUVL用反射層付基板の製造方法。
  9. ガラス基板の一方の面にEUV光を反射する反射層、および、EUV光を吸収する吸収層を少なくともこの順に形成されたEUVリソグラフィ(EUVL)用反射型マスクブランクの製造方法であって、
    乾式成膜法によって、前記ガラス基板上に、前記反射層、および、前記吸収層のうち、少なくとも1つを形成する際に、請求項1〜のいずれかに記載のガラス基板保持手段を用いてガラス基板を保持することを特徴とするEUVL用反射型マスクブランクの製造方法。
  10. ガラス基板の一方の面にEUV光を反射する反射層が形成されたEUVリソグラフィ用(EUVL)用反射層付基板の製造方法であって、乾式成膜法によって、前記ガラス基板上に前記反射層を形成する際に、請求項〜7のいずれかに記載のガラス基板保持手段を用いて前記ガラス基板を保持し、前記ガラス基板保持手段にガラス基板を載置する際に、該ガイド面もしくはガイド湾曲面を前記ガラス基板の側面と底面との境界をなす辺と当接させることにより、前記ガラス基板保持手段と前記ガラス基板との位置決めを行うことを特徴とするEUVL用反射層付基板の製造方法。
  11. ガラス基板の一方の面にEUV光を反射する反射層、および、EUV光を吸収する吸収層を少なくともこの順に形成されたEUVリソグラフィ(EUVL)用反射型マスクブランクの製造方法であって、
    乾式成膜法によって、前記ガラス基板上に、前記反射層、および、前記吸収層のうち、少なくとも1つを形成する際に、請求項〜7のいずれかに記載のガラス基板保持手段を用いて前記ガラス基板を保持し、前記ガラス基板保持手段にガラス基板を載置する際に、該ガイド面もしくはガイド湾曲面を前記ガラス基板の側面と底面との境界をなす辺と当接させることにより、前記ガラス基板保持手段と前記ガラス基板との位置決めを行うことを特徴とするEUVL用反射型マスクブランクの製造方法。
JP2011007760A 2011-01-18 2011-01-18 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法 Active JP5609663B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2011007760A JP5609663B2 (ja) 2011-01-18 2011-01-18 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
KR1020110135582A KR20120083842A (ko) 2011-01-18 2011-12-15 유리 기판 유지 수단, 및 그것을 사용한 euv 마스크 블랭크의 제조 방법
SG2012000436A SG182910A1 (en) 2011-01-18 2012-01-04 Glass substrate-holding tool and method for producing an euv mask blank by employing the same
US13/347,150 US8967608B2 (en) 2011-01-18 2012-01-10 Glass substrate-holding tool and method for producing an EUV mask blank by employing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011007760A JP5609663B2 (ja) 2011-01-18 2011-01-18 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法

Publications (2)

Publication Number Publication Date
JP2012151218A JP2012151218A (ja) 2012-08-09
JP5609663B2 true JP5609663B2 (ja) 2014-10-22

Family

ID=46490967

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011007760A Active JP5609663B2 (ja) 2011-01-18 2011-01-18 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法

Country Status (4)

Country Link
US (1) US8967608B2 (ja)
JP (1) JP5609663B2 (ja)
KR (1) KR20120083842A (ja)
SG (1) SG182910A1 (ja)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102615605A (zh) * 2011-01-31 2012-08-01 进准光学(江苏)有限公司 夹具
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102047001B1 (ko) * 2012-10-16 2019-12-03 삼성디스플레이 주식회사 정전 척
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP2014167963A (ja) * 2013-02-28 2014-09-11 Toshiba Corp 静電チャック、レチクル、および静電チャック方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7012454B2 (ja) * 2017-04-27 2022-01-28 株式会社岡本工作機械製作所 静電吸着チャックの製造方法並びに半導体装置の製造方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP2020163529A (ja) * 2019-03-29 2020-10-08 株式会社荏原製作所 基板を保持するための研磨ヘッドおよび基板処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4412133A (en) * 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) * 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
JP2678381B2 (ja) * 1987-05-06 1997-11-17 ユニサーチ・リミテッド 交流電界励振を利用した静電チャック
US5166856A (en) * 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5535507A (en) * 1993-12-20 1996-07-16 International Business Machines Corporation Method of making electrostatic chuck with oxide insulator
US5474614A (en) * 1994-06-10 1995-12-12 Texas Instruments Incorporated Method and apparatus for releasing a semiconductor wafer from an electrostatic clamp
JP3598479B2 (ja) * 1996-03-14 2004-12-08 株式会社オーク製作所 露光装置および露光装置における位置決め方法
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
JP2005301304A (ja) 2002-03-29 2005-10-27 Hoya Corp マスクブランク用基板、マスクブランク、および転写用マスク
DE10314212B4 (de) 2002-03-29 2010-06-02 Hoya Corp. Verfahren zur Herstellung eines Maskenrohlings, Verfahren zur Herstellung einer Transfermaske
JP2004153122A (ja) * 2002-10-31 2004-05-27 Nikon Corp 露光装置
JP2004335513A (ja) * 2003-04-30 2004-11-25 Nikon Corp レチクルの保持方法、保持装置及び露光装置
JP2005077845A (ja) 2003-09-01 2005-03-24 Hoya Corp スパッタリング装置、薄膜付き基板の製造方法、マスクブランクスの製造方法及び転写マスクの製造方法
JP4393150B2 (ja) * 2003-10-01 2010-01-06 キヤノン株式会社 露光装置
US20050238922A1 (en) 2003-12-25 2005-10-27 Hoya Corporation Substrate with a multilayer reflection film, reflection type mask blank for exposure, reflection type mask for exposure and methods of manufacturing them
JP2005210093A (ja) 2003-12-25 2005-08-04 Hoya Corp 多層反射膜付き基板、露光用反射型マスクブランクス及び露光用反射型マスク、並びにそれらの製造方法
JP2006013208A (ja) * 2004-06-28 2006-01-12 Canon Inc 露光装置
DE102004038548A1 (de) 2004-08-06 2006-03-16 Schott Ag Verfahren zur Herstellung eines Maskenblank für photolithographische Anwendungen und Maskenblank
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
JP2009176776A (ja) * 2008-01-21 2009-08-06 Nikon Corp 保持装置、露光装置及びデバイスの製造方法
JP5166058B2 (ja) 2008-02-05 2013-03-21 信越化学工業株式会社 基板保持具および基板閃光照射方法
JP2009267113A (ja) 2008-04-25 2009-11-12 Shin Etsu Chem Co Ltd 基板支持装置およびこれを備えた閃光照射システム
EP2400536B1 (en) * 2009-02-18 2020-04-15 Ulvac, Inc. Wafer conveying tray and method of securing wafer on tray
CN102822744B (zh) * 2010-04-02 2015-04-01 信越化学工业株式会社 光掩模单元及其制造方法
US8192901B2 (en) * 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool

Also Published As

Publication number Publication date
JP2012151218A (ja) 2012-08-09
KR20120083842A (ko) 2012-07-26
US8967608B2 (en) 2015-03-03
SG182910A1 (en) 2012-08-30
US20120183683A1 (en) 2012-07-19

Similar Documents

Publication Publication Date Title
JP5609663B2 (ja) ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
US8192901B2 (en) Glass substrate-holding tool
KR101287697B1 (ko) Euv 리소그래피용 반사형 마스크 블랭크
US7736821B2 (en) Reflective mask blank for EUV lithography and substrate with a conductive film for the mask blank
JP5268168B2 (ja) 多層反射膜付き基板の製造方法、露光用反射型マスクブランクスの製造方法及び露光用反射型マスクの製造方法
TWI446405B (zh) Ultraviolet (EUV) mask base
JP6111243B2 (ja) 多層反射膜付き基板の製造方法、反射型マスクブランクの製造方法、及び反射型マスクの製造方法
WO2010087345A1 (ja) Euvリソグラフィ用反射型マスクブランクの製造方法
JP2005210093A (ja) 多層反射膜付き基板、露光用反射型マスクブランクス及び露光用反射型マスク、並びにそれらの製造方法
JP5949777B2 (ja) Euvリソグラフィ用反射型マスクブランクの製造方法
JP2006324268A (ja) Euv露光用マスクブランクスおよびその製造方法、euv露光用マスク
JP5724657B2 (ja) ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
JP5533395B2 (ja) Euvリソグラフィ用反射型マスクブランクの製造方法
JP5560776B2 (ja) Euvリソグラフィ用反射型マスクブランクスの製造方法
JP2010286632A (ja) フォトマスクブランクスの洗浄方法
EP1945829A1 (en) Method for depositing reflective multilayer film of reflective mask blank for euv lithography and method for producing reflective mask blank for euv lithography
TWI825296B (zh) 遮罩基底用基板、附多層反射膜之基板、反射型遮罩基底、反射型遮罩、透光型遮罩基底、透光型遮罩以及半導體裝置之製造方法
JP5772499B2 (ja) Euvリソグラフィ(euvl)用反射型マスクブランクの製造方法およびeuvl用反射層付基板の製造方法
JP2021118323A (ja) 静電チャッククリーナー及び静電チャックのクリーニング方法
JP3433898B2 (ja) X線マスク接着装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130902

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140304

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140805

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140818

R150 Certificate of patent or registration of utility model

Ref document number: 5609663

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250