DE60218669D1 - Hochtemperatur elektrostatischer halter - Google Patents

Hochtemperatur elektrostatischer halter

Info

Publication number
DE60218669D1
DE60218669D1 DE60218669T DE60218669T DE60218669D1 DE 60218669 D1 DE60218669 D1 DE 60218669D1 DE 60218669 T DE60218669 T DE 60218669T DE 60218669 T DE60218669 T DE 60218669T DE 60218669 D1 DE60218669 D1 DE 60218669D1
Authority
DE
Germany
Prior art keywords
chuck
heat transfer
expansion assembly
transfer body
plasma etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60218669T
Other languages
English (en)
Inventor
Greg Sexton
Alan Schoepp
Mark Allen Kennard
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of DE60218669D1 publication Critical patent/DE60218669D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Physical Vapour Deposition (AREA)
DE60218669T 2001-06-28 2002-06-05 Hochtemperatur elektrostatischer halter Expired - Lifetime DE60218669D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/892,458 US6669783B2 (en) 2001-06-28 2001-06-28 High temperature electrostatic chuck
PCT/US2002/017663 WO2003003448A2 (en) 2001-06-28 2002-06-05 High temperature electrostatic chuck

Publications (1)

Publication Number Publication Date
DE60218669D1 true DE60218669D1 (de) 2007-04-19

Family

ID=25399981

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60218669T Expired - Lifetime DE60218669D1 (de) 2001-06-28 2002-06-05 Hochtemperatur elektrostatischer halter

Country Status (12)

Country Link
US (1) US6669783B2 (de)
EP (1) EP1399963B1 (de)
JP (1) JP4256257B2 (de)
KR (1) KR100907848B1 (de)
CN (2) CN1293620C (de)
AT (1) ATE356431T1 (de)
AU (1) AU2002303965A1 (de)
DE (1) DE60218669D1 (de)
IL (2) IL159497A0 (de)
RU (1) RU2295799C2 (de)
TW (1) TW550643B (de)
WO (1) WO2003003448A2 (de)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3870824B2 (ja) * 2001-09-11 2007-01-24 住友電気工業株式会社 被処理物保持体、半導体製造装置用サセプタおよび処理装置
US20040045813A1 (en) * 2002-09-03 2004-03-11 Seiichiro Kanno Wafer processing apparatus, wafer stage, and wafer processing method
AU2003287837A1 (en) 2002-12-20 2004-07-14 Vortek Industries Ltd Methods and systems for supporting a workpiece and for heat-treating the workpiece
JP2005276886A (ja) * 2004-03-23 2005-10-06 Nikon Corp 静電チャックおよび露光装置
US7452427B2 (en) * 2004-12-01 2008-11-18 Deft, Inc. Corrosion resistant conversion coatings
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US7826724B2 (en) * 2006-04-24 2010-11-02 Nordson Corporation Electronic substrate non-contact heating system and method
KR100702846B1 (ko) * 2006-05-16 2007-04-03 삼성전자주식회사 이온주입설비의 정전척 크리닝장치
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
CN101711481B (zh) * 2006-10-18 2013-01-09 汤姆森特许公司 使用预测数据精选进行视频编码的方法和装置
WO2008058397A1 (en) 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8022718B2 (en) * 2008-02-29 2011-09-20 Lam Research Corporation Method for inspecting electrostatic chucks with Kelvin probe analysis
JP5718809B2 (ja) 2008-05-16 2015-05-13 マトソン テクノロジー、インコーポレイテッド 加工品の破壊を防止する方法および装置
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
JP5188584B2 (ja) * 2008-12-25 2013-04-24 株式会社アルバック 静電チャック用のチャックプレートの製造方法
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
JP5088331B2 (ja) * 2009-01-26 2012-12-05 東京エレクトロン株式会社 熱処理装置用の構成部品及び熱処理装置
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
TWI538094B (zh) * 2009-03-31 2016-06-11 蘭研究公司 用以處理盤狀物品的裝置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR20120116923A (ko) * 2009-11-30 2012-10-23 램 리써치 코포레이션 각진 측벽을 가진 정전 척
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
CN102387655B (zh) * 2010-09-06 2015-10-21 北京北方微电子基地设备工艺研究中心有限责任公司 用于等离子体设备的下电极及等离子体设备
CN102468208B (zh) * 2010-11-16 2015-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 卡盘和半导体处理装置
CN102465283B (zh) * 2010-11-16 2013-12-11 北京北方微电子基地设备工艺研究中心有限责任公司 一种卡盘和半导体处理装置
WO2012080278A1 (en) * 2010-12-14 2012-06-21 Mapper Lithography Ip B.V. Lithography system and method of processing substrates in such a lithography system
JP5609663B2 (ja) * 2011-01-18 2014-10-22 旭硝子株式会社 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9673037B2 (en) 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
JP6112016B2 (ja) * 2011-12-14 2017-04-12 株式会社ニコン 基板ホルダ及び基板貼り合わせ装置
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
JP5989593B2 (ja) * 2012-04-27 2016-09-07 日本碍子株式会社 半導体製造装置用部材
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103794538B (zh) * 2012-10-31 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
CN103904014B (zh) * 2012-12-31 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘和反应腔室
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9142438B2 (en) * 2013-02-28 2015-09-22 Varian Semiconductor Equipment Associates, Inc. Techniques for clamping and declamping a substrate
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9668373B2 (en) 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
CN104377155B (zh) * 2013-08-14 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
JP2015109249A (ja) * 2013-10-22 2015-06-11 東京エレクトロン株式会社 プラズマ処理装置
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
JP6293499B2 (ja) 2014-01-27 2018-03-14 株式会社日立ハイテクノロジーズ 真空処理装置
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
CN105431924B (zh) * 2014-04-09 2020-11-17 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US10325800B2 (en) * 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6609425B2 (ja) 2015-06-17 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
JP6697363B2 (ja) * 2015-10-30 2020-05-20 日本碍子株式会社 半導体製造装置用部材、その製法及びシャフト付きヒータ
JP6960737B2 (ja) * 2017-01-23 2021-11-05 株式会社日立ハイテク 真空処理装置
CN107808848A (zh) * 2017-11-28 2018-03-16 北京北方华创微电子装备有限公司 静电卡盘以及半导体设备
US10306776B1 (en) 2017-11-29 2019-05-28 Lam Research Corporation Substrate processing system printed-circuit control board assembly with one or more heater layers
JP7083463B2 (ja) 2018-02-23 2022-06-13 株式会社日立ハイテク 真空処理装置
JP6873178B2 (ja) * 2019-03-26 2021-05-19 日本碍子株式会社 半導体製造装置用部材、その製法及び成形型
RU191199U1 (ru) * 2019-04-26 2019-07-29 Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский Нижегородский государственный университет им. Н.И. Лобачевского" Блок фиксации нагреваемой подложки в вакуумной камере с плоско-пружинными зажимами фиксирующих керамических пластин
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
CN115461856A (zh) 2020-04-29 2022-12-09 应用材料公司 用于均匀性改善的加热器盖板
CN112002658B (zh) * 2020-08-25 2024-06-21 北京北方华创微电子装备有限公司 加热器和加热基座
CN112011778B (zh) * 2020-08-26 2022-08-16 北京北方华创微电子装备有限公司 一种半导体工艺设备中的卡盘组件及半导体工艺设备
CN112133619B (zh) * 2020-09-22 2023-06-23 重庆臻宝科技股份有限公司 下部电极塑封夹具及塑封工艺
JP7482746B2 (ja) 2020-10-19 2024-05-14 東京エレクトロン株式会社 基板処理装置、基板処理システム、及びメンテナンス方法
US11598006B2 (en) * 2021-01-08 2023-03-07 Sky Tech Inc. Wafer support and thin-film deposition apparatus using the same

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5986260A (ja) * 1982-11-10 1984-05-18 Hitachi Ltd ゲ−トタ−ンオフサイリスタ
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4692836A (en) 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JPH02250198A (ja) 1989-03-24 1990-10-05 Tdk Corp テープカセット自動販売機
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3129452B2 (ja) 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5155652A (en) 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
EP0628644B1 (de) 1993-05-27 2003-04-02 Applied Materials, Inc. Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5511799A (en) 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5535090A (en) 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
US5691876A (en) 1995-01-31 1997-11-25 Applied Materials, Inc. High temperature polyimide electrostatic chuck
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5534816A (en) 1995-04-14 1996-07-09 Delco Electronics Corporation Programmable transducer amplifier circuit
US5708556A (en) * 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
US5730803A (en) 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US5796066A (en) 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5930639A (en) 1996-04-08 1999-07-27 Micron Technology, Inc. Method for precision etching of platinum electrodes
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH1064983A (ja) 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6034863A (en) * 1997-11-12 2000-03-07 Applied Materials, Inc. Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
EP1048064A1 (de) 1998-01-13 2000-11-02 Applied Materials, Inc. Ätzmethoden für anisotropes platin-ätzprofil
US6159055A (en) * 1998-07-31 2000-12-12 Applied Materials, Inc. RF electrode contact assembly for a detachable electrostatic chuck
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck

Also Published As

Publication number Publication date
IL159497A0 (en) 2004-06-01
US6669783B2 (en) 2003-12-30
IL159497A (en) 2008-03-20
JP4256257B2 (ja) 2009-04-22
ATE356431T1 (de) 2007-03-15
TW550643B (en) 2003-09-01
KR100907848B1 (ko) 2009-07-14
AU2002303965A1 (en) 2003-03-03
CN1293620C (zh) 2007-01-03
US20030003749A1 (en) 2003-01-02
CN100437968C (zh) 2008-11-26
EP1399963A2 (de) 2004-03-24
RU2295799C2 (ru) 2007-03-20
WO2003003448A3 (en) 2003-05-08
KR20040015763A (ko) 2004-02-19
EP1399963B1 (de) 2007-03-07
WO2003003448A2 (en) 2003-01-09
JP2005516379A (ja) 2005-06-02
RU2004102206A (ru) 2005-02-27
CN1941317A (zh) 2007-04-04
CN1537328A (zh) 2004-10-13

Similar Documents

Publication Publication Date Title
DE60218669D1 (de) Hochtemperatur elektrostatischer halter
DE60045384D1 (de) Mehrzonenwiderstandsheizung
KR101046963B1 (ko) 클램핑 전기 커넥터를 구비한 기판 지지부
DE60136940D1 (de) Elektrostatischer scheibenhalter mit porösen bereichen
ATE362650T1 (de) Hochtemperatur elektrostatischer halter
WO2002031219A8 (en) Electrostatically clamped edge ring for plasma processing
KR100461879B1 (ko) 세라믹 서셉터의 지지 구조
CN108505010A (zh) 用于沉积腔室的基板支撑夹盘冷却
ATE488025T1 (de) Atmosphärendruckionenquelle
CN107710398A (zh) 具有射频耦合的高功率静电夹盘设计
EP1300871A3 (de) Halbleiterbearbeitungsgerät und dafür geeignetes Elektrodenteil
WO2002047445A3 (en) Chemical plasma cathode
JP6747727B1 (ja) 気化器
JP2002093723A5 (de)
JP2001093882A5 (de)
FI923813A0 (fi) Plasmatron med aonga som plasmagas och foerfarande foer stabil anvaendning av denna plasmatron.
CN109841548B (zh) 中转腔室及半导体加工设备
WO1999040758A3 (en) Remote exposure of workpieces using a one atmosphere uniform glow discharge plasma
US6258204B1 (en) Electrically planar upper electrode cover
WO2003075305A3 (en) Indirectly heated button cathode for an ion source
MD2781B2 (en) Process for increasing the thermocouple thermoelectric coefficient
JPH04363021A (ja) プラズマプロセス装置
JPS5346282A (en) Semiconductor device
BR0106008A (pt) Método para aperfeiçoar a eficiência térmica usando revestimentos de silano e artigos revestidos assim produzidos
UA39581A (uk) Спосіб регенерації відпрацьованого поглинача сіркоорганічних сполук на основі оксиду цинку

Legal Events

Date Code Title Description
8332 No legal effect for de