KR101541202B1 - 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 - Google Patents

플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 Download PDF

Info

Publication number
KR101541202B1
KR101541202B1 KR1020107000561A KR20107000561A KR101541202B1 KR 101541202 B1 KR101541202 B1 KR 101541202B1 KR 1020107000561 A KR1020107000561 A KR 1020107000561A KR 20107000561 A KR20107000561 A KR 20107000561A KR 101541202 B1 KR101541202 B1 KR 101541202B1
Authority
KR
South Korea
Prior art keywords
control plate
showerhead electrode
thermal control
electrode
thermal
Prior art date
Application number
KR1020107000561A
Other languages
English (en)
Other versions
KR20100029830A (ko
Inventor
토마스 알 스티븐슨
라 렐라 안토니 데
사우랍 우랄
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100029830A publication Critical patent/KR20100029830A/ko
Application granted granted Critical
Publication of KR101541202B1 publication Critical patent/KR101541202B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

진공 챔버의 내부에 탑재되도록 구성된 샤워헤드 전극; 샤워헤드 전극에 부착된 옵션의 백킹 플레이트; 백킹 플레이트를 가로지르는 다중의 컨택트 포인트에서 백킹 플레이트 및 샤워헤드 전극에 부착된 열 제어 플레이트; 및 컨택트 포인트에서, 백킹 플레이트와 열 제어 플레이트, 또는 백킹 플레이트와 샤워헤드 전극을 분리하는 적어도 하나의 열적 및 전기적 전도성 개스킷을 포함하는 샤워헤드 전극 어셈블리가 개시된다. 이 샤워헤드 전극 어셈블리를 사용하여 반도체 기판을 프로세싱하는 방법이 또한 개시되어 있다.

Description

플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리{SHOWERHEAD ELECTRODE ASSEMBLIES FOR PLASMA PROCESSING APPARATUSES}
반도체 재료 프로세싱의 분야에서, 예를 들어, 진공 프로세싱 챔버를 포함하는 반도체 재료 프로세싱 장치가 사용되는데, 이 장치는 기판상에서의 다양한 재료의 에칭 및 증착, 및 레지스트 박리와 같은 다양한 프로세스를 수행한다. 반도체 기술이 발전함에 따라, 트랜지스터 사이즈를 축소시키는 것은 웨이퍼 프로세스 및 프로세스 장비에서의 훨씬 더 높은 정도의 정확도, 반복성 및 청정도를 요구한다. 플라즈마 에칭, 플라즈마 강화 화학 기상 증착 (PECVD) 및 레지스트 박리와 같은 플라즈마의 사용을 수반하는 애플리케이션을 포함하는 반도체 프로세싱을 위해 다양한 타입의 장비가 존재한다. 이들 프로세스에 대해 요청된 장비의 타입은 플라즈마 챔버내에 배치되고 그 환경에서 기능해야 하는 컴포넌트를 포함한다. 플라즈마 챔버 내부의 환경은, 플라즈마에 대한 노출, 에천트 가스에 대한 노출, 및 열 사이클링을 포함할 수도 있다. 이러한 컴포넌트에 대해 사용된 재료는, 챔버에서의 환경 조건을 견디도록 구성되어야 하며, 웨이퍼 마다 다중 프로세스 단계를 포함할 수도 있는 다수의 웨이퍼의 프로세싱에 대해 견디도록 구성되어야 한다. 비용 효율적이도록, 이러한 컴포넌트는 종종, 그들의 기능성 및 청정도를 유지하면서 수백 또는 수천의 웨이퍼 사이클을 견뎌야 한다. 일반적으로, 입자가 거의 없고 수십 나노미터 보다 크지 않을 때에도, 그 입자를 발생시키는 컴포넌트에 대한 톨러런스는 매우 낮다. 또한, 플라즈마 프로세싱 챔버 내부에서의 사용을 위해 선택된 컴포넌트에 대해, 가장 비용 효율적인 방식으로 이들 요건을 충족시키는 것이 필요하다.
샤워헤드 전극 어셈블리의 예시적인 실시형태는, 진공 챔버의 내부에 탑재되고 무선 주파수 (RF) 에너지에 의해 전력공급되도록 구성된 샤워헤드 전극; 샤워헤드 전극에 부착된 백킹 플레이트 (backing plate); 백킹 플레이트를 가로지르는 다중 컨택트 포인트에서 복수의 패스너를 통해 백킹 플레이트에 부착된 열 제어 플레이트; 및 컨택트 포인트에서 백킹 플레이트와 열 제어 플레이트를 분리하는 적어도 하나의 열적 및 전기적 전도성 개스킷을 포함한다.
플라즈마 에칭을 제어하는 방법의 예시적인 실시형태는, 샤워헤드 전극 어셈블리를 통해 플라즈마 에칭 챔버에 프로세스 가스를 공급하는 단계로서, 상기 프로세스 가스는 반도체 기판이 지지되는 바닥 전극과 샤워헤드 전극 사이의 갭으로 흐르는, 상기 프로세스 가스를 공급하는 단계; 및 RF 전력을 샤워헤드 전극에 인가하고 프로세스 가스를 플라즈마 상태로 에너자이징 (energizing) 함으로써 플라즈마 에칭 챔버에서 반도체 기판을 에칭하는 단계를 포함하며, 샤워헤드 전극의 온도는 적어도 하나의 열적 및 전기적 전도성 개스킷에 의해 강화된 열 전도를 통해 열 제어 플레이트에 의해 제어된다. 이 방법에서, 상술한 샤워헤드 전극 어셈블리의 예시적인 실시형태가 사용될 수 있다.
샤워헤드 전극 어셈블리의 다른 예시적인 실시형태는, 진공 챔버의 내부에 탑재되도록 구성된 샤워헤드 전극; 샤워헤드 전극을 가로지르는 다중의 컨택트 포인트에서 샤워헤드 전극에 부착된 열 제어 플레이트; 및 컨택트 포인트에서, 샤워헤드 전극과 열 제어 플레이트를 분리하며, 열 제어 플레이트에 형성된 인접 플레넘 (plenum) 을 분리하는 적어도 하나의 열적 및 전기적 전도성 개스킷을 포함한다.
도 1 은, 반도체 재료 플라즈마 프로세싱 장치의 샤워헤드 전극 어셈블리의 예시적인 실시형태를 예시한다.
도 2 는, 도 1 에 도시된 샤워헤드 전극 어셈블리의 부분의 확대도이다.
도 3 은, 인터페이스 개스킷을 포함하는 도 2 에 도시된 샤워헤드 전극 어셈블리의 부분을 예시한다.
도 4 는, 인터페이스 개스킷을 포함하는 도 1 에 도시된 샤워헤드 전극 어셈블리의 다른 부분의 확대도이다.
도 5 는, 알루미늄 백킹 플레이트의 상면을 도시하고, 도 6 은 면들 사이에서 개스킷 재료를 갖는 진공 프로세싱 챔버에서 컴포넌트들을 사용한 이후의 열 제어 플레이트의 환상 돌출부 (annular projection) 의 저면을 도시한다.
도 7 및 도 8 은, 개스킷과 개스킷 재료가 열 제어 플레이트와 백킹 플레이트 사이에 사용되지 않은 프로세싱에 대한 백킹 플레이트 온도와 시간 사이의 관계를 도시한다.
도 9a 내지 도 9d 및 도 10a 내지 도 10d 는, 열 제어 플레이트와 백킹 플레이트 사이에 개스킷 재료를 갖고 (도 9a 내지 도 9d) 그리고 개스킷 재료를 갖지 않는 (도 10a 내지 도 10d) 알루미늄 백킹 플레이트를 사용하는 에칭 레이트 프로파일을 도시한다.
도 11 은, 다른 실시형태에 따른 샤워헤드 전극 어셈블리의 부분의 확대도이다.
도 12 는, 인터페이스 개스킷을 포함하는 도 11 에 도시된 샤워헤드 전극 어셈블리의 부분을 예시한다.
실리콘 웨이퍼와 같은 반도체 기판을 위한 플라즈마 프로세싱 장치는, 반도체, 금속 및 유전체와 같은 재료를 에칭하기 위해 반도체 디바이스 제조 프로세스에서 사용되는 플라즈마 에칭 챔버를 포함한다. 예를 들어, 유전체 에칭 챔버는 실리콘 이산화물 또는 실리콘 질화물과 같은 재료를 에칭하기 위해 사용될 수도 있다. 에칭 프로세스 동안, 에칭 챔버내의 컴포넌트들은 가열 및 냉각되며, 그 결과 열 스트레스를 경험한다. 가열된 샤워헤드 어셈블리의 활발히 가열된 컴포넌트에 대해, 이러한 온도 사이클링은 증가된 입자 생성을 발생시킬 수 있다.
샤워헤드 전극이 최소 온도 아래로 떨어지는 것을 방지하기 위한 히터를 갖는 샤워헤드 전극 어셈블리가, 그 개시내용이 여기에 참조로서 전체적으로 통합되는 공동 소유 미국 특허 공개번호 2005/0133160A1 에 기재되어 있다. 히터는 플라즈마 에칭 챔버의 상부 벽을 형성하는 온도 제어된 상부 플레이트와의 열 전달에 있어서 열 제어 플레이트와 협동한다.
도 1 은, 상부 전극 (103) 과 그 상부 전극 (103) 에 고정된 옵션 백킹 부재 (102), 열 제어 플레이트 (101), 및 상부 플레이트 (111) 를 포함하는 평행 플레이트 용량-커플링된 플라즈마 챔버 (진공 챔버) 의 샤워헤드 어셈블리 (100) 의 절반을 도시한다. 열 초크 (112) 가 열 제어 플레이트 (101) 의 상위 표면상에 제공될 수 있다. 상부 전극 (103) 은 반도체 기판 (162), 예를 들어, 반도체 웨이퍼를 지지하는 기판 지지부 (160) 상에 위치된다.
상부 플레이트 (111) 는, 플라즈마 에칭 챔버와 같은 플라즈마 프로세싱 장치의 제거가능한 상부 벽을 형성할 수 있다. 도시된 바와 같이, 상부 전극 (103) 은 내부 전극 부재 (105), 및 옵션의 외부 전극 부재 (107) 를 포함할 수 있다. 내부 전극 부재 (105) 는, 통상적으로 단결정 실리콘으로 이루어진다. 원하는 경우에, 내부 및 외부 전극 (105, 107) 은 CVD 실리콘 탄화물, 단결정 실리콘 또는 다른 적합한 재료와 같은 단일 피스의 재료로 이루어질 수 있다.
내부 전극 부재 (105) 는 예를 들어, 200mm 까지 프로세싱될 웨이퍼 보다 작고, 동일하거나, 큰 직경을 가질 수 있다. 300mm 웨이퍼와 같은 더 큰 반도체 기판의 프로세싱을 위해, 외부 전극 부재 (107) 는 약 12 인치 내지 약 19 인치, 예를 들어, 약 15 인치 내지 약 17 인치로 상부 전극 (103) 의 직경을 확장하도록 구성된다. 외부 전극 부재 (107) 는 연속 부재 (예를 들어, 링과 같은 폴리-실리콘 또는 실리콘 탄화물), 또는 세그먼트된 부재 (예를 들어, 단결정 실리콘의 세그먼트와 같은, 링 구성으로 배열된 2 내지 6개의 개별 세그먼트) 일 수 있다. 상부 전극 (103) 이 다중 세그먼트 외부 전극 부재 (107) 를 포함하는 실시형태에서, 세그먼트는 바람직하게는, 플라즈마에 대한 노출로부터 기반 본딩 재료를 보호하기 위해 서로 오버랩하는 에지를 갖는다. 내부 전극 부재 (105) 는 바람직하게는, 상부 전극 (103) 아래의 플라즈마 반응 챔버내의 공간으로 프로세스 가스를 주입하는 다중 가스 통로 (passage) (104) 를 포함한다. 외부 전극 (107) 은 바람직하게는, 전극 (103) 의 외주연에서 상승된 단차 (raised step) 를 형성한다. 단차를 가진 전극의 더욱 상세한 설명은, 그 내용이 참조로 여기에 통합되는 공동 소유 미국 특허 6,824,627 호에서 찾을 수 있다.
단결정 실리콘이, 내부 전극 부재 (105) 와 외부 전극 부재 (107) 의 플라즈마 노출된 표면에 대해 바람직한 재료이다. 고순도의 단결정 실리콘은, 최소량의 바람직하지 못한 엘리먼트만을 반응 챔버에 도입하기 때문에 플라즈마 프로세싱 동안 기판의 오염을 최소화하며, 또한 플라즈마 프로세싱 동안 매끈하게 마모되어서, 입자를 최소화한다.
샤워헤드 전극 어셈블리 (100) 는 300mm 의 직경을 갖는 반도체 웨이퍼와 같은 큰 기판을 프로세싱하기 위해 사이징될 수 있다. 300mm 웨이퍼에 대해, 상부 전극 (103) 은 직경이 적어도 300mm 이다. 그러나, 샤워헤드 전극 어셈블리는 비원형 구성을 갖는 기판 또는 다른 웨이퍼 사이즈를 프로세싱하도록 사이징될 수 있다.
백킹 부재 (102) 는 백킹 플레이트 (106) 및 옵션으로 백킹 링 (108) 을 포함한다. 이러한 구성에서, 내부 전극 부재 (105) 는 백킹 플레이트 (106) 와 동일한 공간에 걸치고 (co-extensive), 외부 전극 부재 (107) 는 주위의 백킹 링 (108) 과 동일한 공간에 걸친다. 그러나, 백킹 플레이트 (106) 가 내부 전극 부재를 넘어서 확장될 수 있어서, 내부 전극 부재와 세그먼트화된 외부 전극 부재를 지지하는데 단일 백킹 플레이트가 사용될 수 있거나 내부 전극과 외부 전극은 단일 피스의 재료를 포함할 수 있다. 내부 전극 부재 (105) 및 외부 전극 부재 (107) 는 바람직하게는, 엘라스토머 본딩 재료와 같은 본딩 재료에 의해 백킹 부재 (102) 에 부착된다. 백킹 플레이트 (106) 는 플라즈마 프로세싱 챔버로의 가스 흐름을 제공하기 위해 내부 전극 부재 (105) 에서의 가스 통로 (104) 와 정렬된 가스 통로 (113) 를 포함한다. 가스 통로 (113) 는 통상적으로, 약 0.04 인치의 직경을 가질 수 있으며, 가스 통로 (104) 는 통상적으로 약 0.025 인치의 직경을 가질 수 있다.
이 실시형태에서, 백킹 플레이트 (106) 및 백킹 링 (108) 은 통상적으로 알루미늄 합금 재료인 알루미늄 재료로 이루어진다. 백킹 플레이트 (106) 및 백킹 링 (108) 은 베어 (bare) 알루미늄, 즉, 표면 자연 산화물 (native oxide) 을 갖는 (그리고 양극처리되지 않은) 알루미늄으로 이루어질 수 있다.
상부 전극 (103) 은, 열 스트레스를 수용하며, 상부 전극 (103) 과 백킹 플레이트 (106) 와 백킹 링 (108) 사이에서 열 및 전기 에너지를 전달하는 열적 및 전기적 전도성 엘라스토머 본딩 재료로 백킹 플레이트 (106) 와 백킹 링 (108) 에 부착될 수 있다. 다르게는, 엘라스토머는 열 전도성일 수 있지만, 전기적 도전성은 아닐 수 있다. 전극 어셈블리의 표면을 함께 본딩하는데 엘라스토머를 사용하는 것은, 예를 들어, 그 전체가 참조로 여기에 포함되는 공동 소유 미국 특허 제 6,073,577 호에 기재되어 있다.
바람직하게는, 백킹 플레이트 (106) 및 백킹 링 (108) 은 스레드된 볼트, 나사 등일 수 있는 적절한 패스너를 사용하여 열 제어 플레이트 (101) 에 부착된다. 예를 들어, 볼트 (미도시) 는 열 제어 플레이트 (101) 에서의 홀에 삽입될 수 있으며, 백킹 부재 (102) 에서의 스레드된 개구에 나사로 고정될 수 있다. 열 제어 플레이트 (101) 는 활발히 제어된 히터와 열 전달 관계에 있다. 예를 들어, 그 개시내용이 참조로 여기에 포함되는 공통 소유 미국 출원 공개 번호 제 2005/0133160A1 에서의 도 1 및 도 2 와 그 설명을 참조. 열 제어 플레이트 (101) 는 휨 부분 (109) 을 포함하며, 바람직하게는, 알루미늄과 같은 머시닝된 금속 재료, 알루미늄 합금 6061 과 같은 알루미늄 합금 등으로 이루어진다. 열 제어 플레이트 (101) 는 베어 알루미늄, 즉, 표면 자연 산화물을 갖는 (그리고 양극처리되지 않은) 알루미늄으로 이루어질 수 있다. 바람직하게는, 상부 플레이트 (111) 는 알루미늄 또는 알루미늄 합금 6061 과 같은 알루미늄 합금으로 이루어진다. 플라즈마 컨파인먼트 어셈블리 (110) 가 샤워헤드 전극 어셈블리 (100) 의 외부에 도시되어 있다. 수직으로 조절가능한 플라즈마 컨파인먼트 링 어셈블리를 포함하는 적절한 플라즈마 컨파인먼트 어셈블리가, 그 전체가 참조로 여기에 포함되는 공동 소유 미국 특허 제 5,534,751 호에 기재되어 있다.
바람직하게는, 열 제어 플레이트는 상부 전극의 온도를 제어하기 위해 온도 제어된 상부 플레이트와 협력하도록 동작가능한 적어도 하나의 히터를 포함한다. 예를 들어, 바람직한 실시형태에서, 히터가 열 제어 플레이트의 상부 표면상에 제공되고, 제 1 돌출부에 의해 둘러싸인 제 1 히터 존, 제 1 돌출부와 제 2 돌출부 사이의 제 2 히터 존, 및 제 2 돌출부와 휨 부분 사이의 제 3 히터 존을 포함한다. 히터 존의 수는 변화될 수 있으며, 예를 들어, 다른 실시형태에서, 히터는 단일 히터 존, 2개의 히터 존, 또는 4개 이상의 히터 존을 포함할 수 있다. 다른 방법으로는, 히터는 열 제어 플레이트의 바닥 표면상에 제공될 수 있다.
바람직하게는, 히터는 히터가 도달하는 동작 온도를 견딜 수 있는 중합 재료의 대향 층들 사이에 배치된 저항성 가열된 재료를 포함하는 적층체를 포함한다. 사용될 수 있는 예시적인 중합 재료는, E.I. du Pont de Nemours and Company 로부터 상업적으로 입수가능한 상표 Kapton® 하에서 판매되는 폴리이미드이다. 다르게는, 히터는 열 제어 플레이트에 임베디드된 저항성 히터 (예를 들어, 캐스트 열 제어 플레이트에서의 가열 엘리먼트 또는 열 제어 플레이트에 형성된 채널에 위치된 가열 엘리먼트) 일 수 있다. 히터의 다른 실시형태는, 열 제어 플레이트의 상부 및/또는 하부 표면상에 탑재된 저항성 가열 엘리먼트를 포함한다. 열 제어 플레이트의 가열은 전도 및/또는 복사를 통해 달성될 수 있다.
히터 재료는 제 1 히터 존, 제 2 히터 존, 및 제 3 히터 존의 열적으로 균일한 가열을 제공하는 임의의 적절한 패턴을 가질 수 있다. 예를 들어, 적층체 히터는, 지그-재그, 서펜타인 (serpentine), 또는 동심 패턴과 같은 저항성 가열 라인의 정상 또는 비정상 패턴을 가질 수 있다. 온도-제어된 상부 플레이트의 동작과 협력하여, 히터로 열 제어 플레이트를 가열함으로써, 샤워헤드 전극 어셈블리의 동작 동안 상부 전극에 걸쳐서 바람직한 온도 분포가 제공될 수 있다.
제 1 히터 존, 제 2 히터 존, 및 제 3 히터 존에 위치된 히터 섹션은 임의의 적절한 기술, 예를 들어, 열과 압력의 인가, 접착제, 패스너 등에 의해 열 제어 플레이트에 고정될 수 있다.
상부 전극은 전기적으로 접지될 수 있거나, 다른 방법으로는 무선 주파수 (RF) 전류원 (170) 에 의해 바람직하게 전력공급될 수 있다. 바람직한 실시형태에서, 상부 전극은 접지되고, 하나 이상의 주파수에서의 전력이 바닥 전극에 인가되어 플라즈마 프로세싱 챔버에서 플라즈마를 생성한다. 예를 들어, 바닥 전극은 2개의 독립적으로 제어된 무선 주파수 전원에 의해 2MHz 및 27MHz 의 주파수에서 전력공급될 수 있다. 기판이 프로세싱된 이후에 (예를 들어, 반도체 기판이 플라즈마 에칭된 이후에), 바닥 전극으로의 전력의 공급이 차단되어 플라즈마 생성을 종료한다. 프로세싱된 기판은 플라즈마 프로세싱 챔버로부터 제거되며, 다른 기판이 플라즈마 프로세싱을 위해 기판 지지부상에 위치된다. 바람직한 실시형태에서, 히터는 바닥 전극으로의 전력이 차단될 때, 열 제어 플레이트, 및 차례로 상부 전극을 가열하도록 활성된다. 그 결과, 상부 전극 온도는 바람직하게는, 원하는 최소 온도 아래로 감소하는 것이 방지된다. 유전체 재료의 에칭을 위해, 상부 전극 온도는 바람직하게는, 연속 기판 프로세싱 실행 사이에서 150℃ 내지 250℃ 와 같은 거의 일정한 온도에서 유지되어서, 기판이 더욱 균일하게 프로세싱됨으로써, 프로세스 수율을 향상시킨다. 전력 공급은 바람직하게는, 상부 전극의 실제 온도 및 원하는 온도에 기초하여 히터에 원하는 레벨 및 레이트로 전력을 공급하도록 제어가능하다.
예시적인 실시형태에서, 상부 전극은 적어도 100℃, 적어도 약 150℃, 또는 적어도 180℃ 의 온도에서 적어도 샤워헤드 전극의 부분을 가열하고 유지하는 것과 같이, 적어도 약 80℃ 의 온도로 가열될 수 있다. 상부 전극은 반도체 기판의 에칭 이전에 가열될 수 있다. 에칭은 반도체 기판상의 산화물 층에서의 개구를 에칭하는 것을 포함할 수 있으며, 여기서, 개구는 패터닝된 포토레지스트에 의해 정의된다.
플라즈마 챔버는 또한, 예를 들어, 온도 제어기; 온도 제어기에 열 응답하여 열 제어 플레이트를 가열하는 히터에 전력을 공급하도록 구성된 전원; 열 제어기에 응답하여 챔버의 온도 제어된 상부 벽에 유체를 공급하도록 구성된 유체 제어부; 및 샤워헤드 전극의 하나 이상의 부분의 온도를 측정하고 온도 제어기에 정보를 공급하도록 구성된 온도 센서 장치를 포함할 수 있다.
샤워헤드 전극 어셈블리의 예시된 실시형태는 또한, 플라즈마 챔버에서 프로세스 가스를 분배하기 위해 사용된 알루미늄 배플 링 장치 (120) 를 포함한다. 도 1 의 알루미늄 배플 링 장치 (120) 는, 약 96 내지 약 98 중량%의 Al, 약 0.8 내지 약 1.2 중량%의 Mg, 약 0.4 내지 약 0.8 중량%의 Si, 약 0.15 내지 0.4 중량%의 Cu, 약 0.04 내지 0.35 중량%의 Cr, 및 옵션으로 Fe, Mn, Zn 및/또는 Ti 를 포함하는 6061 알루미늄과 같은 알루미늄 합금 또는 알루미늄으로 이루어진 6개의 링을 포함한다. 배플 링 (120) 은 양극처리된 외부 표면을 가질 수 있다. 6개의 동심 L-형 링은, 백킹 부재 (102) 의 위 및 열 제어 플레이트 (101) 의 아래의 플레넘내에 위치된다. 예를 들어, 중심 플레넘은, 단일 링을 포함할 수 있고, 인접 플레넘은 1/2 내지 1 인치 갭 만큼 분리된 2개의 링을 포함할 수 있고, 다음의 인접 플레넘은 1/2 내지 1 인치 갭 만큼 분리된 2개의 링을 포함할 수 있고, 외부 플레넘은 단일 링을 포함할 수 있다. 링은 나사로 열 제어 플레이트 (101) 에 탑재된다. 예를 들어, 각 링은 나사를 수용하는 스루 홀을 갖는 원주상으로 이격된 스탠드-오프 (stand-off) 들 또는 보스 (boss) 들을 포함할 수 있고, 예를 들어, 개별적으로 배열된 3개의 보스가 사용될 수 있다. 각 링은 약 0.040 인치 두께의 수평 섹션 및 약 1/4 인치 길이의 수직 플랜지를 가질 수 있다.
도 2 는 샤워헤드 전극 어셈블리 (100) 의 부분을 예시한다. 도시된 바와 같이, 알루미늄 백킹 플레이트 (102) 의 상부 표면 (134) 과 열 제어 플레이트 (101) 의 환상 돌출부 (136) 사이에는 컨택트 포인트 (132) 가 존재한다. 열 제어 플레이트 (101) 에서, 컨택트 포인트 (132) 는 백킹 플레이트 (102) 의 표면적의 약 1% 내지 약 30% 를 커버한다. O-링과 같은 실 (138) 이 알루미늄 배플 링 (120) 과 상부 표면 (134) 사이의 오프셋 (139) 에 배치되어서 기밀 실 (gas-tight seal) 을 형성한다. 도시된 바와 같이, 배플 링 (120) 의 수직 벽의 상부 단부는 심 (shim; 140) 에 의해 열 제어 플레이트 (101) 의 바닥 표면 (142) 으로부터 분리된다. 심 (140) 은 통상적으로, Kapton® 과 같은 유전체 재료로 이루어진다.
본 발명의 발명자들은, 샤워헤드 전극 어셈블리 (100) 의 동작 동안, 열 제어 플레이트와 알루미늄 백킹 부재 사이에 위치된 컨택트 포인트에 따라 백킹 플레이트 (106) 와 백킹 링 (108) 을 포함하는 알루미늄 백킹 부재 (102) 와 열 제어 플레이트 (101) 사이에 갤링 (galling) 이 발생할 수 있다는 것을 결정하였다. 이러한 갤링은 열 제어 플레이트 (101) 와 알루미늄 백킹 부재 (102) 양자에서 발생할 수 있으며, 온도 사이클링의 결과로서 열 제어 플레이트 (101) 와 알루미늄 백킹 부재 (102) 의 대향 표면들 사이에서 발생하는 상대적 모션과 러빙에 의해 야기된다. 이러한 갤링은 다수의 이유로 매우 바람직하지 못하다. 먼저, 갤링은 열 전달의 감소를 초래할 수 있어서, 예시된 내부 전극 부재 (105) 를 포함하는 상부 전극 (103) 의 온도에서의 시프트를 초래할 수 있다. 이러한 온도 시프트는 플라즈마 프로세싱 챔버에서 반도체 기판의 프로세싱 동안 프로세스 시프트를 초래할 수 있다.
열 제어 플레이트 (101) 및 알루미늄 백킹 부재 (102) 의 갤링은 또한, 입자 생성을 초래할 수 있거나, 열 제어 플레이트 (101) 와 알루미늄 백킹 부재 (102) 의 퓨징 (fusing) 을 초래할 수 있고, 이것은 그 후 이들 컴포넌트를 분리하는데 과도한 힘을 요구하여 이들 컴포넌트에 손상을 발생시킬 수 있다.
열 제어 플레이트 (101) 및 알루미늄 백킹 부재 (102) 의 갤링은 또한 상부 전극 (103) 을 세정하는 어려움을 증가시킬 수 있다.
또한, 열 제어 플레이트 (101) 및 알루미늄 백킹 부재 (102) 의 갤링은 이들 컴포넌트의 외관 (cosmetic appearance) 을 열화시키며 이들의 수명을 감소시킨다.
도 3 및 도 4 는, 열 제어 플레이트 (101) 와 알루미늄 백킹 플레이트 (106) 와 백킹 링 (108) 의 갤링의 발생을 감소시키며, 그 결과 이러한 갤링과 관련된 상술한 문제점들을 또한 감소시키는 변형을 포함하는 샤워헤드 전극 어셈블리의 예시적인 실시형태를 예시한다. 특히, 도 3 에 도시된 바와 같이, 개스킷 (144) 이 열 제어 플레이트의 환상 돌출부 (136) 의 바닥 표면과 알루미늄 백킹 플레이트 (102) 의 상부 표면 (134) 사이에 위치된다. 개스킷 (144) 은 열 제어 플레이트 (101) 와 알루미늄 백킹 플레이트 (106) 사이에서 전기적 및 열적 전도를 제공하기 위한 전기적 도전성 (전극에 RF 경로를 제공함) 및 열적 전도성인 재료로 이루어진다. 개스킷 (144) 은 전기적 도전성 열 인터페이스를 제공한다. 개스킷 (144) 은 또한, 내부 전극 부재 (105) 를 포함하는 상부 전극 (103) 과 열 제어 플레이트 (101) 사이의 열 전달을 강화시킨다. 도 3 에 또한 도시된 바와 같이, 개스킷 (144) 과 거의 동일한 두께를 갖는 심 (146) 이 열 제어 플레이트 (101) 의 바닥 표면 (142) 과 알루미늄 배플 링 (120) 사이에 위치된다. 심 (146) 은 유전체 재료일 수 있다.
열 제어 플레이트 (101) 는 백킹 플레이트 (106) 의 후면에서 플레넘을 확립하는 여러 환상 돌출부 (136), 예를 들어, 2 내지 10개, 바람직하게는 4 내지 8개 돌출부를 포함한다. 환상 개스킷 (144) 이 각 환상 돌출부의 컨택트 표면상에 배열된다. (3 내지 15개 볼트와 같은) 복수의 패스너가 환상 개스킷 각각에서의 개구를 통과하여 열 제어 플레이트를 백킹 플레이트에 고정한다.
도 4 는 백킹 플레이트 (106) 와 백킹 링 (108) 의 부분을 도시한다. 도시된 바와 같이, 링 (150) (예를 들어, 수정 링) 이 백킹 플레이트 (106) 와 백킹 링 (108) 사이의 인터페이스 (152) 에 위치된다. O-링과 같은 실 (154) 이 링 (150) 에 형성된 그루브 (156) 에 제공되어서 인터페이스 (152) 에서 실을 형성한다. 전기적 및 열적 전도성 재료로 이루어진 심 (144) 이 열 제어 플레이트 (101) 와 알루미늄 백킹 링 (108) 사이에 제공된다. 심 (144) 은 내부 전극 부재 (105) 와 외부 전극 부재 (107) 사이에서 실을 유지할 수 있으며, 링 (150) 에 의한 입자 생성을 방지할 수 있다.
컨택트 포인트를 통한 열 전달을 강화함으로써, 내부 전극 부재 (105) 를 포함하는 상부 전극 (103) 과 열 제어 플레이트 (101) 사이의 온도 차이를 감소시킬 수 있어서, 일련의 웨이퍼의 연속 프로세싱 동안 "첫번째 웨이퍼 효과 (first wafer effect)" 가 또한 감소될 수 있다. 바람직하게는, 첫번째 웨이퍼 효과는 약 0.5℃ 보다 작게 감소될 수 있다. 예를 들어, 바람직하게는, 반도체 기판에서 높은 애스펙트비 컨택트 비아를 에칭하는 약 2nm/10℃ 의 임계 치수 (CD) 민감도를 갖는 대략 1Å (대략 0.1nm) 재현성 (repeatability) 이 달성될 수 있다.
또한, 개스킷 (144) 은 바람직하게는, 신규한 알루미늄 백킹 부재와 사용된 알루미늄 백킹 부재 사이의 온도 시프트를 약 5℃ 보다 작게 또한 최소화할 수 있다.
바람직하게는, 개스킷 (144) 은 또한, 열 제어 플레이트 (101) 와 알루미늄 백킹 부재 (102) 의 퓨징 또는 갤링을 감소시키거나 방지할 수 있고, 이것은 이들 컴포넌트가 최소의 힘으로 서로로부터 분리될 수 있게 한다.
바람직하게는, 개스킷 (144) 은, 바람직하게는 예를 들어, 약 10 내지 약 200mTorr 의 높은 진공 환경에서 가스를 방출하지 않고, 낮은 미립자 생성 성능을 가지고, 컨택트 포인트에서의 전단 (shear) 을 수용하도록 컴플라이언트하고, Ag, Ni, Cu 등과 같은 반도체 기판에서 수명 킬러 (killer) 인 금속 성분이 없으며, 알루미늄 백킹 부재 (102) 의 세정 동안 입자의 생성을 최소화시킬 수 있는 재료로 이루어진다.
개스킷 (144) 은 예를 들어, 도전성 실리콘-알루미늄 포일 샌드위치 개스킷 구조, 또는 엘라스토머-스테인리스강 샌드위치 개스킷 구조일 수 있다. 바람직한 실시형태에서, 개스킷 (144) 은 미네소타주 첸하센에 위치한 The Bergquist Company 로부터 입수가능한 Bergquist Q-Pad Ⅱ 합성 재료이다. 이들 재료는 열적/전기적 전도성 고무로 양측상에 코팅된 알루미늄을 포함한다. 이 재료는 진공 환경에서 호환가능하다. 열 제어 플레이트 및 알루미늄 백킹 부재, 예를 들어, 백킹 플레이트의 컨택트 표면은, 프로세싱, 예를 들어, 머시닝에 의해 야기된 어느 정도의 거칠기를 각각 갖는다. 바람직하게는, 개스킷 재료는 또한 충분하게 컴플라이언트해서, 컨택트 표면 사이의 열 컨택트를 강화시키기 위해 컨택트 표면의 영역 (예를 들어, 마이크로보이드) 을 효율적으로 채우며 컨택트 표면의 표면 거칠기를 보상한다.
개스킷 재료로부터의 그라파이트 생성을 최소화하기 위해, 개스킷은 와이핑 (wiping) 에 의한 것과 같이 탈이온수를 사용하여 세정될 수 있다. 다른 방법으로는, 개스킷 재료는 플루오르엘라스토머 재료와 같은 적절한 코팅 재료로 코팅될 수 있다.
도 5 는 (컨택트 포인트에서) 알루미늄 백킹 플레이트의 상부 표면과 열 제어 플레이트의 환상 돌출부의 바닥 표면 사이에서 Q-Pad Ⅱ 개스킷 재료로 진공 프로세싱 챔버에서 이들 컴포넌트를 사용한 이후에, 알루미늄 백킹 플레이트 (106) 의 상부 표면을 도시하고, 도 6 은 열 제어 플레이트 (101) 의 환상 돌출부의 바닥 표면을 도시한다. 도시된 바와 같이, 알루미늄 백킹 플레이트 (106) 의 상부 표면과 환상 돌출부의 바닥 표면은 갤링 마크가 없다. 테스트는 높은 애스펙트비 컨택트 개구를 에칭하기 위해 5 KW RF 전력으로 170℃ 의 온도에서 실시되었다. 도 5 및 도 6 에 도시된 사진은 12 RF 시간의 챔버 동작 이후에 찍었다.
도 7 및 도 8 은, Q-Pad Ⅱ 합성 재료가 알루미늄 백킹 플레이트의 상부 표면과 열 제어 플레이트의 환상 돌출부의 바닥 표면 사이에 위치될 때 열 성능을 개선시킬 수 있고 첫번째 웨이퍼 효과를 최소화시킬 수 있다는 것을 설명하는 테스트 결과를 도시한다. 도 7 에서, 백킹 플레이트 온도와 시간 사이의 관계는 알루미늄 백킹 플레이트의 상부 표면과 열 제어 플레이트의 환상 돌출부의 바닥 표면 사이에 위치된 상이한 개스킷 재료 및 개스킷 없음에 대해 도시된다. 곡선 A 는 그라파이트로 이루어진 백킹 플레이트 및 개스킷 없음에 대한 것이고, 곡선 B 는 알루미늄으로 이루어진 백킹 플레이트 및 개스킷 없음에 대한 것이며, 곡선 C 는 알루미늄으로 이루어진 백킹 플레이트 및 Q-Pad Ⅱ 합성 개스킷에 대한 것이다. 도 8 에서, 곡선 A, B 및 C 는 알루미늄 백킹 플레이트 및 사용된 개스킷 없음에 대한 것이며, 곡선 D 및 E 는 알루미늄 백킹 플레이트 및 Q-Pad Ⅱ 합성 개스킷에 대한 것이다. 도 8 에서, 곡선 A, B, C 에 대해, 첫번째 웨이퍼 효과는 3℃ 인 반면에, 곡선 D 및 E 에서, 첫번째 웨이퍼 효과는 0.5℃ 보다 작았다.
도 9a 내지 9d 및 도 10a 내지 10d 는, 열 제어 플레이트와 백킹 플레이트 사이에서 Q-Pad Ⅱ 합성 개스킷 재료를 갖고 (도 9a 내지 9d) 그리고 개스킷 재료를 갖지 않은 (도 10a 내지 10d) 알루미늄 백킹 플레이트를 사용한 프로세스 시프트 테스팅에 대한 에칭 레이트 프로파일을 도시한다.
Q-Pad Ⅱ 합성 개스킷 재료의 컴플라이언트 특징은, 도 7 및 도 8, 및 도 9a 내지 9d 및 도 10a 내지 10d 에 도시된 테스트 결과에 의해 설명된 바와 같이, 열 제어 플레이트와 백킹 플레이트 사이의 컨택트 표면 (열 및 RF 경로) 에서의 열 컨택트를 증가시킴으로써 성능 결과를 대단히 개선시켰다.
도 11 은 샤워헤드 전극 어셈블리의 다른 실시형태의 부분을 예시한다. 도 2 및 도 3 을 참조하면, 도 11 에 도시된 실시형태는 백킹 부재를 포함하지 않으며, 열 제어 플레이트 (101) 는 내부 전극 부재 (105) 에 직접 고정된다. 도 11 에 도시된 샤워헤드 전극 어셈블리는 또한, 도 1 에 도시된 외부 전극 부재 (107) 와 같은 옵션의 외부 전극 부재를 포함할 수 있다. 외부 전극 부재는 복수의 세그먼트로 이루어진 링 구성을 가질 수 있다. 열 제어 플레이트 (101) 는 엘라스토머 본딩과 같은 접착제 본딩 및/또는 패스너에 의한 것과 같이, 임의의 적절한 방식으로 내부 전극 부재 (105) 및 옵션의 외부 전극 부재에 직접 고정될 수 있다. 도 11 에 도시된 바와 같이, 내부 전극 부재 (105) 의 상부 표면 (160) 과 열 제어 플레이트 (101) 의 환상 돌출부 (136) 사이에 컨택트 포인트 (158) 가 존재한다. 실시형태에서, 열 제어 플레이트 (101) 의 외부 표면은 베어 알루미늄으로 이루어진 컨택트 포인트 (158) 의 표면을 제외하고 양극처리될 수 있다. 컨택트 포인트 (132) 는 내부 전극 부재 (105) 로부터의 열을 제거하기 위한 열 경로 및 내부 전극 부재 (105) 를 통과하는 RF 전력에 대한 RF 경로를 제공한다. O-링과 같은 실 (138) 이 알루미늄 배플 링 (120) 과 상부 표면 (160) 사이의 오프셋 (139) 에 배치되어 기밀 실을 형성한다. 배플 링 (120) 의 수직 벽의 상부 단부는 심 (140) 에 의해 열 제어 플레이트 (101) 의 바닥 표면 (142) 으로부터 분리된다. 심 (140) 은 통상적으로, Kapton® 과 같은 유전체 재료로 이루어진다.
도 12 는 열 제어 플레이트와 내부 전극 부재 사이에 위치된 컨택트 포인트에 따라 열 제어 플레이트 (101) 와 내부 전극 부재 (105) (및 또한 옵션의 외부 전극 부재) 사이의 갤링의 발생을 감소시켜서, 입자 생성과 같은 이러한 갤링과 관련된 문제점들을 또한 감소시키기 위한 도 11 에 도시된 샤워헤드 전극 어셈블리에 대한 변형을 예시한다. 예를 들어, 실리콘 전극 부재에 대해, 갤링은 실리콘 입자 생성 및 알루미늄 입자 생성을 초래할 수 있다. 특히, 도 12 에 도시된 바와 같이, 개스킷 (144) 은 열 제어 플레이트 (101) 의 환상 돌출부 (136) 의 바닥 표면과 내부 전극 부재 (105) 의 상부 표면 (160) 사이에 위치된다. 개스킷 (144) 은 열 제어 플레이트 (101) 에 형성된 플레넘들 중 인접한 플레넘들을 서로로부터 분리시킨다.
개스킷 (144) 은 도 3 및 도 4 에 도시된 샤워헤드 전극 어셈블리의 실시형태에 관하여 상술한 개스킷 (144) 과 동일한 재료로 이루어질 수 있다. 개스킷 (144) 재료는 열 제어 플레이트 (101) 와 내부 전극 부재 (105) (및 옵션의 외부 전극 부재) 사이에 전기적 및 열적 전도를 제공하기 위해 전기적으로 및 열적으로 전도성이고, 즉, 개스킷 (144) 은 컨택트 포인트 사이에 전기적-도전성 열 인터페이스를 제공한다.
또한, 도 12 에 도시된 바와 같이, 개스킷 (144) 과 거의 동일한 두께를 갖는 심 (146) 은 알루미늄 배플 링 (120) 과 열 제어 플레이트 (101) 의 바닥 표면 (142) 사이에 위치된다. 심 (146) 은 유전체 재료일 수 있다.
본 발명의 특정한 실시형태들을 참조하여 본 발명을 상세히 설명하였지만, 첨부한 청구범위의 범주를 벗어나지 않고 다양한 변화 및 변경이 이루어질 수 있으며, 등가물이 이용된다는 것이 당업자에게는 명백할 것이다.

Claims (20)

  1. 진공 챔버의 내부에 탑재되며 무선 주파수 (RF) 에너지로 전력공급되도록 구성된 샤워헤드 전극;
    상기 샤워헤드 전극에 부착된 백킹 플레이트 (backing plate);
    상기 백킹 플레이트를 가로질러 다중의 컨택트 포인트들에서 복수의 패스너를 통해 상기 백킹 플레이트에 부착된 열 제어 플레이트로서, 상기 컨택트 포인트들은, 상기 열 제어 플레이트의 하부 표면상의 이격된 환상 돌출부들을 포함하는, 상기 열 제어 플레이트;
    상기 환상 돌출부들 사이의 플레넘 (plenum) 들에서의 양극처리된 알루미늄의 배플 링들로서, 각 배플 링은, 상기 환상 돌출부들 중 하나에 인접한 수직 벽 및 수평 섹션을 포함하는, 상기 배플 링들; 및
    상기 컨택트 포인트들에서 상기 백킹 플레이트와 상기 열 제어 플레이트를 분리하는 적어도 하나의 열적 및 전기적 전도성 개스킷을 포함하는, 샤워헤드 전극 어셈블리.
  2. 제 1 항에 있어서,
    상기 적어도 하나의 열적 및 전기적 전도성 개스킷은 상기 환상 돌출부들을 커버하도록 사이징된 복수의 환상 개스킷을 포함하는, 샤워헤드 전극 어셈블리.
  3. 제 1 항에 있어서,
    상기 열 제어 플레이트 및 상기 백킹 플레이트는, 베어 (bare) 알루미늄으로 이루어지고, 상기 개스킷은 금속 및 폴리머 재료의 적층체인, 샤워헤드 전극 어셈블리.
  4. 제 1 항에 있어서,
    상기 샤워헤드 전극은, 내부 전극 및 외부 전극을 포함하고, 상기 내부 전극은 단결정 실리콘의 원형 플레이트이고, 상기 외부 전극은 단결정 실리콘의 복수의 세그먼트로 구성된 링 전극인, 샤워헤드 전극 어셈블리.
  5. 제 1 항에 있어서,
    상기 배플 링들의 수직 벽들은 상기 컨택트 포인트들에 인접한 상기 수직 벽의 하부 단부들에서 오프셋들을 포함하며,
    상기 샤워헤드 전극 어셈블리는, 상기 컨택트 포인트들의 대향 측들상에 실 (seal) 들을 형성하도록 상기 오프셋들에 위치된 O-링들을 더 포함하는, 샤워헤드 전극 어셈블리.
  6. 제 1 항에 있어서,
    상기 패스너는 백킹 부재로 스레드된 볼트들을 포함하고, 상기 개스킷은 상기 볼트들이 상기 백킹 부재로 통과하는 스루 홀들을 포함하는, 샤워헤드 전극 어셈블리.
  7. 제 5 항에 있어서,
    상기 배플 링들의 상기 수직 벽들의 상부 단부들은, 상기 열적 및 전기적 전도성 개스킷들과 동일한 두께를 갖는 심들 (shims) 에 의해 상기 열 제어 플레이트의 하부 표면으로부터 분리되는, 샤워헤드 전극 어셈블리.
  8. 제 1 항에 있어서,
    상기 열 제어 플레이트의 상부 표면상의 열 초크들을 더 포함하는, 샤워헤드 전극 어셈블리.
  9. 제 1 항에 있어서,
    상기 열적 및 전기적 전도성 개스킷은 은, 니켈 및 구리가 없으며, 상기 컨택트 포인트들은 상기 백킹 플레이트의 표면적의 1% 내지 30%를 커버하는, 샤워헤드 전극 어셈블리.
  10. 제 1 항에 기재된 샤워헤드 전극 어셈블리를 포함하는 진공 챔버로서,
    온도 제어기;
    상기 온도 제어기에 열 응답하여 상기 열 제어 플레이트를 가열하는 히터에 전력을 공급하도록 구성된 전원;
    상기 온도 제어기에 응답하여 상기 진공 챔버의 온도 제어된 상부 벽에 유체를 공급하도록 구성된 유체 제어부; 및
    상기 샤워헤드 전극의 하나 이상의 부분의 온도를 측정하고 상기 온도 제어기에 정보를 공급하도록 구성된 온도 센서 장치를 더 포함하며,
    상기 진공 챔버의 상부 벽은 옵션으로 전기적으로 접지되는, 진공 챔버.
  11. 제 1 항에 있어서,
    상기 샤워헤드 전극은, 베어 알루미늄으로 이루어지는 상기 백킹 플레이트에 엘라스토머 본딩된 일 측 및 대향 측상에 가스 아웃렛들을 갖는 실리콘 전극 플레이트를 포함하는, 샤워헤드 전극 어셈블리.
  12. 제 1 항에 기재된 샤워헤드 전극 어셈블리를 통해 플라즈마 에칭 챔버에 프로세스 가스를 공급하는 단계로서, 상기 프로세스 가스는, 반도체 기판이 지지되는 바닥 전극과 상기 샤워헤드 전극 사이의 갭으로 흐르는, 상기 프로세스 가스를 공급하는 단계; 및
    상기 샤워헤드 전극에 RF 전력을 인가하고, 상기 프로세스 가스를 플라즈마 상태로 에너자이징함으로써 상기 플라즈마 에칭 챔버에서 반도체 기판을 에칭하는 단계로서, 상기 샤워헤드 전극의 온도는 상기 적어도 하나의 열적 및 전기적 전도성 개스킷에 의한 강화된 열 전도를 통해 상기 열 제어 플레이트에 의해 제어되는, 상기 반도체 기판을 에칭하는 단계를 포함하는, 플라즈마 에칭을 제어하는 방법.
  13. 제 12 항에 있어서,
    적어도 80℃의 온도로 상기 샤워헤드 전극을 가열하는 단계를 더 포함하는, 플라즈마 에칭을 제어하는 방법.
  14. 제 13 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는, 적어도 100℃의 온도로 상기 샤워헤드 전극의 적어도 일부를 가열하고 유지하는 단계를 포함하는, 플라즈마 에칭을 제어하는 방법.
  15. 제 13 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는, 적어도 180℃의 온도로 상기 샤워헤드 전극의 적어도 일부를 가열하고 유지하는 단계를 포함하는, 플라즈마 에칭을 제어하는 방법.
  16. 제 13 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는, 상기 반도체 기판을 에칭하는 단계 이전에 발생하고, 상기 에칭하는 단계는, 상기 반도체 기판상의 산화물층에서 패터닝된 포토레지스트에 의해 정의된 개구들을 에칭하는 단계를 포함하며, 상기 개구들은 패터닝된 포토레지스트에 의해 정의되는, 플라즈마 에칭을 제어하는 방법.
  17. 진공 챔버의 내부에 탑재되도록 구성된 샤워헤드 전극;
    상기 샤워헤드 전극을 가로질러 다중의 컨택트 포인트들에서 상기 샤워헤드 전극에 부착된 열 제어 플레이트로서, 상기 컨택트 포인트들은, 상기 열 제어 플레이트의 하부 표면상의 이격된 환상 돌출부들을 포함하는, 상기 열 제어 플레이트;
    상기 환상 돌출부들 사이의 플레넘 (plenum) 들에서의 양극처리된 알루미늄의 배플 링들로서, 각 배플 링은, 상기 환상 돌출부들 중 하나에 인접한 수직 벽 및 수평 섹션을 포함하는, 상기 배플 링들; 및
    상기 컨택트 포인트들에서, 상기 샤워헤드 전극과 상기 열 제어 플레이트를 분리시키고, 상기 열 제어 플레이트에 형성된 인접한 플레넘들을 분리시키는 적어도 하나의 열적 및 전기적 전도성 개스킷을 포함하는, 샤워헤드 전극 어셈블리.
  18. 제 17 항에 있어서,
    상기 컨택트 포인트들은, 상기 열 제어 플레이트의 하부 표면상에 제공된 이격되어 있는 환상 돌출부들의 표면들을 포함하고, 상기 컨택트 포인트들은 백킹 플레이트의 표면적의 1% 내지 30%를 커버하고,
    상기 적어도 하나의 개스킷은, 상기 환상 돌출부들 각각과 상기 샤워헤드 전극의 상부 표면 사이에 위치된 환상 개스킷을 포함하며,
    상기 개스킷은 은, 니켈 및 구리가 없는 금속 및 폴리머 재료의 적층체로 구성되는, 샤워헤드 전극 어셈블리.
  19. 제 18 항에 있어서,
    상기 샤워헤드 전극은 내부 전극 및 외부 전극을 포함하고, 상기 내부 전극은 단결정 실리콘의 원형 플레이트이고, 상기 외부 전극은 단결정 실리콘의 복수의 세그먼트로 구성된 링 전극이며,
    상기 샤워헤드 전극 어셈블리는,
    상기 환상 돌출부들 사이의 플레넘들에서의 양극처리된 알루미늄의 배플 링들로서, 각 배플 링은 상기 환상 돌출부들 중 하나에 인접한 수직 벽을 포함하고, 상기 수직 벽들은 상기 컨택트 포인트들에 인접한 상기 수직 벽의 하부 단부들에서 오프셋들을 포함하는, 상기 배플 링들;
    상기 컨택트 포인트들의 대향 측들상에 실들을 형성하도록 상기 오프셋들에 위치된 O-링들로서, 상기 배플 링들의 상기 수직 벽들의 상부 단부들은 상기 열적 및 전기적 전도성 개스킷과 동일한 두께를 갖는 심들에 의해 상기 열 제어 플레이트의 하부 표면으로부터 분리되는, 상기 O-링들; 및
    상기 열 제어 플레이트의 상부 표면상의 열 초크들을 더 포함하는, 샤워헤드 전극 어셈블리.
  20. 제 18 항에 있어서,
    상기 열 제어 플레이트는, 베어 알루미늄으로 이루어진 상기 컨택트 포인트들에서를 제외하고 양극처리된 외부 표면을 갖는, 샤워헤드 전극 어셈블리.
KR1020107000561A 2007-06-13 2008-06-05 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 KR101541202B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US92910707P 2007-06-13 2007-06-13
US60/929,107 2007-06-13
US11/896,375 2007-08-31
US11/896,375 US7862682B2 (en) 2007-06-13 2007-08-31 Showerhead electrode assemblies for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
KR20100029830A KR20100029830A (ko) 2010-03-17
KR101541202B1 true KR101541202B1 (ko) 2015-07-31

Family

ID=40131234

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107000561A KR101541202B1 (ko) 2007-06-13 2008-06-05 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리

Country Status (7)

Country Link
US (2) US7862682B2 (ko)
JP (1) JP5346018B2 (ko)
KR (1) KR101541202B1 (ko)
CN (1) CN101720363B (ko)
SG (1) SG182197A1 (ko)
TW (1) TWI446403B (ko)
WO (1) WO2008156562A2 (ko)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
SG187387A1 (en) 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8809800B2 (en) * 2008-08-04 2014-08-19 Varian Semicoductor Equipment Associates, Inc. Ion source and a method for in-situ cleaning thereof
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
JP5712741B2 (ja) * 2011-03-31 2015-05-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
CN103198993B (zh) * 2012-01-09 2015-08-12 中微半导体设备(上海)有限公司 一种用于等离子体处理装置的气体喷淋头
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102198929B1 (ko) 2019-02-28 2021-01-06 세메스 주식회사 기판 처리 장치의 가스 공급 유닛
CN112885691B (zh) * 2019-11-29 2024-05-14 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6120608A (en) * 1997-03-12 2000-09-19 Applied Materials, Inc. Workpiece support platen for semiconductor process chamber
KR20060053855A (ko) * 2004-07-29 2006-05-22 니혼 에이 에스 에무 가부시키가이샤 듀얼 챔버 플라즈마 처리 장치
KR20060129279A (ko) * 2003-12-23 2006-12-15 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4598249A (en) * 1984-02-29 1986-07-01 Rca Corporation Method using surface photovoltage (SPV) measurements for revealing heavy metal contamination of semiconductor material
JP3113796B2 (ja) * 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
US6092811A (en) * 1996-04-30 2000-07-25 Jamco Products, Llc Hybrid gasket
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6120608A (en) * 1997-03-12 2000-09-19 Applied Materials, Inc. Workpiece support platen for semiconductor process chamber
KR20060129279A (ko) * 2003-12-23 2006-12-15 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
KR20060053855A (ko) * 2004-07-29 2006-05-22 니혼 에이 에스 에무 가부시키가이샤 듀얼 챔버 플라즈마 처리 장치

Also Published As

Publication number Publication date
US7862682B2 (en) 2011-01-04
US20110081783A1 (en) 2011-04-07
JP2010529691A (ja) 2010-08-26
TW200903576A (en) 2009-01-16
CN101720363B (zh) 2012-06-20
CN101720363A (zh) 2010-06-02
JP5346018B2 (ja) 2013-11-20
WO2008156562A3 (en) 2009-02-05
KR20100029830A (ko) 2010-03-17
WO2008156562A2 (en) 2008-12-24
US8313665B2 (en) 2012-11-20
US20080308228A1 (en) 2008-12-18
TWI446403B (zh) 2014-07-21
SG182197A1 (en) 2012-07-30

Similar Documents

Publication Publication Date Title
KR101541202B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
US9899228B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
KR101166740B1 (ko) 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치
KR101280184B1 (ko) 플라즈마 프로세싱을 위해 rf 전력 및 공정 가스를 공급하는 가스 분배 부재
US8449679B2 (en) Temperature controlled hot edge ring assembly
EP0826229B1 (en) Electrode clamping assembly and method for assembly and use thereof
JP5660753B2 (ja) プラズマエッチング用高温カソード
US20070068629A1 (en) Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
WO2008048543A1 (en) Upper electrode backing member with particle reducing features

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180713

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190712

Year of fee payment: 5