JP5560267B2 - プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法 - Google Patents

プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法 Download PDF

Info

Publication number
JP5560267B2
JP5560267B2 JP2011513478A JP2011513478A JP5560267B2 JP 5560267 B2 JP5560267 B2 JP 5560267B2 JP 2011513478 A JP2011513478 A JP 2011513478A JP 2011513478 A JP2011513478 A JP 2011513478A JP 5560267 B2 JP5560267 B2 JP 5560267B2
Authority
JP
Japan
Prior art keywords
showerhead electrode
electrode assembly
temperature control
control plate
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011513478A
Other languages
English (en)
Other versions
JP2011523229A (ja
Inventor
スティーブンソン・トム
ディンドサ・ラジンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011523229A publication Critical patent/JP2011523229A/ja
Application granted granted Critical
Publication of JP5560267B2 publication Critical patent/JP5560267B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Description

半導体デバイス処理の分野において、基板上の様々な材料のエッチングおよび蒸着、ならびに、レジスト剥離など、様々な処理を実行するために、真空処理チャンバを備える半導体材料処理装置が利用される。半導体技術が進歩するにつれて、デバイスサイズ(例えば、トランジスタのサイズなど)が小さくなるため、ウエハ処理および処理装置において、これまで以上に高い精度、再現性、および、清浄度が求められる。半導体処理のための装置には、様々なタイプが存在し、プラズマエッチング、プラズマ化学蒸着(PECVD)、および、レジスト剥離など、プラズマの利用を伴う用途が含まれる。これらの処理に必要なタイプの装置は、プラズマチャンバ内に配置されてその環境中で機能しなければならない構成要素を備える。プラズマチャンバ内部の環境は、プラズマへの暴露、エッチャントガスへの暴露、および、温度サイクリングを含みうる。かかる構成要素に用いられる材料は、チャンバ内の環境条件に耐え、そして、ウエハごとに複数の処理工程を含みうる処理を多くのウエハに対して行う場合でも同様に耐えうるように適合される必要がある。コスト効率を良くするには、かかる構成要素は、しばしば何百回または何千回のウエハサイクルに耐えつつ、機能および清浄度を保つ必要がある。一般に、粒子を生成する構成要素の許容程度は、その粒子を少ししか生成せず、かつ、その粒子が数十ナノメートルに満たない場合でも、極めて小さい。また、プラズマ処理チャンバ内部で用いるために選択される構成要素は、最大のコスト効率で、これらの要件を満たす必要がある。
シャワーヘッド電極アセンブリの一実施形態は、真空チャンバ内部に取り付けられ、高周波(RF)エネルギによって電力供給されるよう適合されたシャワーヘッド電極と、シャワーヘッド電極に取り付けられたバッキングプレートと、バッキングプレートにおける複数の接触領域で複数の締め具によってバッキングプレートに取り付けられた温度制御プレートと、接触領域においてバッキングプレートおよび温度制御プレートを分離する境界部材と、を備えており、各境界部材は、粒子低減シール部によって周縁部を囲まれた熱・電気伝導性ガスケット部を備える。
(1)本発明の第1の形態は、シャワーヘッド電極アセンブリであって、
真空チャンバ内部に取り付けられ、高周波(RF)エネルギによって電力供給されるよう適合されたシャワーヘッド電極と、
前記シャワーヘッド電極に取り付けられたバッキングプレートと、
前記バッキングプレートにおける複数の接触領域で複数の締め具によって前記バッキングプレートに取り付けられた温度制御プレートと、
前記接触領域において前記バッキングプレートおよび前記温度制御プレートを分離する境界部材と、
を備え、
各境界部材は、粒子低減シール部によって周縁部を囲まれた熱・電気伝導性ガスケット部を備え、該周縁部に前記粒子低減シール部が結合される、シャワーヘッド電極アセンブリである。
(2)本発明の第2の形態は、プラズマエッチングチャンバ内でプラズマエッチングを制御する方法であって、
上記のシャワーヘッド電極アセンブリを通して前記プラズマエッチングチャンバに処理ガスを供給する工程であって、前記処理ガスは、前記シャワーヘッド電極と、半導体基板を支持する下側電極との間のギャップ内に流れ込む、工程と、
前記シャワーヘッド電極にRF電力を印加して前記処理ガスをプラズマ状態に励起することによって、前記プラズマエッチングチャンバ内で前記半導体基板をエッチングする工程と、
を備え、
前記シャワーヘッド電極の温度は、前記境界部材の前記熱・電気伝導性ガスケット部を通して強化された熱伝導を用いて前記温度制御プレートによって制御される、方法である。
(3)本発明の第3の形態は、シャワーヘッド電極アセンブリであって、
真空チャンバの内部に取り付けられるよう適合されたシャワーヘッド電極と、
前記シャワーヘッド電極における複数の接触領域で前記シャワーヘッド電極に取り付けられた温度制御プレートであって、前記温度制御プレートと、前記複数の接触領域の間に位置する前記シャワーヘッド電極との間にプレナムが存在する、温度制御プレートと、
前記接触領域において前記シャワーヘッド電極および前記温度制御プレートの間に配置された境界部材と、
を備え、
各境界部材は、粒子低減シール部によって周縁部を囲まれた熱・電気伝導性ガスケット部を備え、該周縁部に前記粒子低減シール部が結合される、シャワーヘッド電極アセンブリである。
プラズマエッチングチャンバ内でプラズマエッチングを制御する方法の一実施形態は、シャワーヘッド電極アセンブリを通してプラズマエッチングチャンバに処理ガスを供給する工程であって、処理ガスは、シャワーヘッド電極と、半導体基板を支持する下側電極との間のギャップ内に流れ込む、工程と、シャワーヘッド電極にRF電力を印加して処理ガスをプラズマ状態に励起することによって、プラズマエッチングチャンバ内で半導体基板をエッチングする工程と、を備えており、シャワーヘッド電極の温度は、境界部材の熱・電気伝導性ガスケット部を通して強化された熱伝導を用いて温度制御プレートによって制御される。この方法では、上述の実施形態のシャワーヘッド電極アセンブリが利用されてよい。
シャワーヘッド電極アセンブリの別の実施形態は、真空チャンバの内部に取り付けられるよう適合されたシャワーヘッド電極と、シャワーヘッド電極における複数の接触領域でシャワーヘッド電極に取り付けられた温度制御プレートであって、温度制御プレートと、複数の接触領域の間に位置するシャワーヘッド電極との間にプレナムが存在する、温度制御プレートと、接触領域の各々においてシャワーヘッド電極および温度制御プレートを分離する境界部材と、を備えており、境界部材は、粒子低減シール部によって周縁部を囲まれた熱・電気伝導性ガスケット部を備える。
半導体材料プラズマ処理装置のシャワーヘッド電極アセンブリの代表的な実施形態を示す図。
境界部材の一実施形態を含む図1のシャワーヘッド電極アセンブリの一部を示す拡大図。
境界部材の一実施形態を示す概略図。
境界部材の一実施形態を示す平面図。
境界部材の一実施形態を示す図。 境界部材の一実施形態を示す図。 境界部材の一実施形態を示す図。
境界部材の別の実施形態を含む図1のシャワーヘッド電極アセンブリの一部を示す拡大図。
境界部材の一実施形態を含む別の実施形態のシャワーヘッド電極アセンブリの一部を示す図。
境界部材の別の実施形態を含む別の実施形態のシャワーヘッド電極アセンブリの一部を示す拡大図。
半導体基板(シリコンウエハなど)のためのプラズマ処理装置は、半導体、金属、および、誘電体などの材料をエッチングするための半導体デバイス製造処理で利用されるプラズマエッチングチャンバを含む。例えば、誘電体エッチングチャンバは、二酸化シリコンまたは窒化シリコンなどの材料をエッチングするために用いられてよい。エッチング処理中、エッチングチャンバ内の構成要素は、加熱および冷却され、その結果として熱応力を受ける。加熱されるシャワーヘッドアセンブリにおいて活発に加熱される構成要素にとっては、この温度サイクリングが粒子生成の増大につながりうる。
シャワーヘッド電極が最低温度未満になることを防ぐためのヒータを有するシャワーヘッド電極アセンブリが、本願の権利者の所有する米国特許出願公開第2005/0133160A1号に記載されており、この開示は、参照によって本明細書に全体が組み込まれる。ヒータは、プラズマエッチングチャンバの上壁を形成する温度制御されたトッププレートとの熱伝導において、温度制御プレートと連携する。
図1は、上側電極103、上側電極103に固定された随意的なバッキング部材102、温度制御プレート101、および、トッププレート111を備える平行平板型容量結合プラズマチャンバ(真空チャンバ)のシャワーヘッドアセンブリ100の半分を示す。熱チョーク112は、温度制御プレート101の上面に設けることができる。上側電極103は、半導体基板162(例えば、半導体ウエハ)を支持する基板支持体160の上方に位置する。
トッププレート111は、プラズマ処理装置(プラズマエッチングチャンバなど)の着脱可能な上壁を形成しうる。図に示すように、上側電極103は、内側電極部材105および随意的な外側電極部材107を備えてよい。内側電極部材105は、通例、単結晶シリコンから形成される。必要に応じて、内側および外側電極105、107は、CVD炭化ケイ素、単結晶シリコン、または、その他の適切な材料などの単一ピースの材料から形成されてよい。
内側電極部材105は、処理されるウエハより小さい、等しい、または、大きい直径(例えば、最大200mm)を有しうる。300mmウエハなど、大きい半導体基板を処理するために、外側電極部材107は、上側電極103の直径を、約12インチから約19インチ(例えば、約15インチから約17インチ)に延長するよう適合される。外側電極部材107は、連続的な部材(例えば、ポリシリコンまたは炭化ケイ素の部材(リングなど))、もしくは、分割された部材(例えば、複数の単結晶シリコン部分など、リング構成に配列された2〜6の別個の部分)であってよい。上側電極103が、複数部分の外側電極107を含む実施形態では、それらの部分は、下層の接着剤をプラズマへの暴露から保護するために、縁部を互いに重ね合わせることが好ましい。内側電極部材105は、上側電極103の下方のプラズマ反応チャンバの空間内に処理ガスを注入するための複数のガス通路104を備えることが好ましい。随意的に、外側電極部材107は、複数のガス通路(図示せず)を備えうる。外側電極107は、ガス通路を備えない電極103の周縁部に、隆起した段を形成することが好ましい。段の付いた電極のさらなる詳細については、本願の権利者が所有する米国特許第6,824,627号に記載されており、その開示全体は、参照によって本明細書に組み込まれる。
単結晶シリコンは、内側電極部材105および外側電極部材107のプラズマ暴露面に好ましい材料である。高純度の単結晶シリコンは、反応チャンバ内に導入する望ましくない元素が最小限であり、さらに、プラズマ処理中に滑らかに摩耗することによって粒子の発生を最小化するため、プラズマ処理中の基板の汚染を最小限に抑える。
シャワーヘッド電極アセンブリ100は、300mmの直径を有する半導体ウエハなど、大きい基板を処理するようなサイズを有しうる。300mmウエハに対しては、上側電極103は、少なくとも300mmの直径を有する。ただし、シャワーヘッド電極アセンブリは、その他のウエハサイズ、または、フラットパネルディスプレイ用の基板のように非円形の構成を有する基板を処理するようなサイズであってもよい。
バッキング部材102は、バッキングプレート106と、随意的にバッキングリング108とを含む。かかる構成において、内側電極部材105は、バッキングプレート106と同一の広がりを有し、外側電極部材107は、周囲のバッキングリング108と同一の広がりを有する。しかしながら、バッキングプレート106は、単一のバッキングプレートを用いて、内側電極部材および分割された外側電極部材を支持、または、単一ピースの内側電極および外側電極を支持することができるように、内側電極部材を越えて広がってもよい。内側電極部材105および外側電極部材107は、エラストマ接着剤などの接着剤によってバッキング部材102に取り付けられることが好ましい。バッキングプレート106は、ガス流をプラズマ処理チャンバ内に供給するために、内側電極部材105のガス通路104に位置合わせされたガス通路113を備える。外側電極107がガス通路を備える場合、バッキングリング108は、外側電極107のかかる随意的なガス通路(図示せず)に位置合わせされたガス通路を備える。ガス通路113は、通例、約0.04インチの直径を有し、ガス通路104は、通例、約0.025インチの直径を有しうる。
実施形態において、バッキングプレート106およびバッキングリング108は、アルミニウム材料から形成されており、アルミニウム材料は、通例、6061合金または半導体処理での利用に適切なその他の合金などのアルミニウム合金である。バッキングプレート106およびバッキングリング108は、裸アルミニウム、すなわち、表面自然酸化物を有する(および、陽極酸化されていない)アルミニウムから形成されてよい。
熱応力に対応でき、上側電極104とバッキングプレート106およびバッキングリング108との間で熱エネルギおよび電気エネルギを伝達する熱・電気伝導性のエラストマ接着剤で、上側電極103をバッキングプレート106およびバッキングリング108に取り付けることができる。あるいは、エラストマは、熱伝導性であるが、電気伝導性でなくてもよい。電極アセンブリの表面を結合するためにエラストマを利用することは、例えば、本願の権利者が所有する米国特許第6,073,577号に記載されており、参照によって本明細書にその全体が組み込まれる。
バッキングプレート106およびバッキングリング108は、ねじ切りボルト、ねじなどであってよい適切な締め具で、温度制御プレート101に取り付けられることが好ましい。例えば、ボルト(図示せず)は、温度制御プレート101の孔に挿入され、バッキング部材102のねじ切り開口部にねじ込まれてよい。温度制御プレート101は、能動的に制御されたヒータと熱伝導関係にある。例えば、参照によって本明細書に開示全体が組み込まれる本願の権利者が所有する米国特許出願公開第2005/0133160A1号の図1および図2ならびにそれらの説明を参照のこと。温度制御プレート101の孔は、熱応力を引き起こす熱膨張の差による移動を許容するために、大きめのサイズであってよい。温度制御プレート101は、屈曲部109を含み、アルミニウム、アルミニウム合金(アルミニウム合金6061または半導体処理での利用に適切なその他の合金など)など、機械加工された金属材料から形成されることが好ましい。温度制御プレート101は、裸アルミニウム、すなわち、表面自然酸化物を有する(および、陽極酸化されていない)アルミニウムから形成されてよい。上側プレート111は、アルミニウム、または、6061アルミニウム合金などのアルミニウム合金から形成されることが好ましい。プラズマ閉じ込めアセンブリ110が、シャワーヘッド電極アセンブリ100の外側に図示されている。垂直に調整可能なプラズマ閉じ込めリングアセンブリを含む適切なプラズマ閉じ込めアセンブリが、本願の権利者の所有する米国特許第6,433,484号に記載されており、参照によって本明細書にその全体が組み込まれる。
温度制御プレート101は、温度制御された上側プレート111と協働して上側電極103の温度を制御するように動作可能な少なくとも1つのヒータを備えることが好ましい。例えば、好ましい実施形態において、ヒータは、温度制御プレート101の上面の上に設けられており、第1の突出部61によって囲まれた第1のヒータ領域と、第1の突出部61および第2の突出部63の間の第2のヒータ領域と、第2の突出部63および屈曲部109の間の第3のヒータ領域とを含む。ヒータ領域の数は様々であってよく、例えば、他の実施形態では、ヒータは、1つのヒータ領域、2つのヒータ領域、または、4以上のヒータ領域を備えうる。あるいは、ヒータは、温度制御プレートの下面に設けられてもよい。
ヒータは、ヒータによって達せられる動作温度に耐えうるポリマ材料の向かい合った層の間に配置された抵抗加熱材料を含む積層体を備えることが好ましい。利用可能な高分子材料の一例は、商標Kapton(登録商標)という名称で販売されているポリイミドであり、E.I. du Pont de Nemours and Companyから市販されている。あるいは、ヒータは、温度制御プレートに埋め込まれた抵抗ヒータであってもよい(例えば、鋳造温度制御プレート内の加熱素子、または、温度制御プレートに形成されたチャネル内に配置された加熱素子)。ヒータの別の実施形態は、温度制御プレートの上面および/または下面に取り付けられた抵抗加熱素子を含む。温度制御プレートの加熱は、伝導および/または放射によって実現されうる。
ヒータ材料は、第1のヒータ領域、第2のヒータ領域、および、第3のヒータ領域の熱的に均一な加熱を実現する任意の適切なパターンを有しうる。例えば、積層体ヒータは、ジグザグ、蛇行、または、同心のパターンなど、規則的または不規則なパターンの抵抗加熱線を有しうる。温度制御された上側プレートの動作と協調して、温度制御プレートをヒータで加熱することにより、シャワーヘッド電極アセンブリの動作中、上側電極にわたって望ましい温度分布を提供することができる。
第1のヒータ領域、第2のヒータ領域、および、第3のヒータ領域に配置されたヒータ部分は、熱および圧力の印加、接着剤、締め具など、任意の適切な技術によって温度制御プレートに固定することができる。
上側電極は、電気的に接地されてもよいし、好ましくは高周波(RF)電流源170によって電力供給されてもよい。上側電極に電力供給するRF電流源170の出力は、50から80MHzの範囲の周波数を有してよく、60MHzの周波数または同等の周波数であることが好ましい。かかる別の実施形態において、下側電極は接地電位に接続され、上側電極はRF電源170に接続されてよい。RF電源170は、約100ボルトから約2000ボルトの電圧を有しうる。好ましい実施形態では、上側電極は接地されており、プラズマ処理チャンバ内でプラズマを生成するために、1または複数の周波数の電力が下側電極に印加される。下側電極に電力供給するRF電源170は、約400kHzから約60MHzの周波数を有しうる。例えば、下側電極は、2つの独立制御された高周波電源によって、2MHzおよび27MHzの周波数で電力供給されてよい。
基板が処理された後(例えば、半導体基板がプラズマエッチングされた後)、下側電極への電力供給が停止されて、プラズマ生成が終了される。処理された基板は、プラズマ処理チャンバから取り出され、別の基板が、プラズマ処理に向けて基板支持体上に載置される。好ましい実施形態では、下側電極への電力が停止されると、温度制御プレート101ひいては上側電極103を加熱するために、ヒータが始動される。結果として、上側電極103の温度は、所望の最低温度未満に低下することを防止されることが好ましい。誘電材料のエッチングについては、上側電極の温度は、基板がより均一に処理されることよって処理歩留まりが向上するように、連続した基板処理と基板処理との間に、ほぼ一定の温度(150から250℃など)に維持されることが好ましい。電力供給は、上側電極の実際の温度および所望の温度に基づいて、所望のレベルおよびレートでヒータに電力を供給するよう制御できることが好ましい。
代表的な実施形態では、例えば、シャワーヘッド電極の少なくとも一部を、少なくとも100℃、少なくとも約150℃、または、少なくとも180℃の温度に加熱して維持することにより、上側電極103を少なくとも約80℃の温度に加熱することができる。上側電極103は、半導体基板のエッチング前に加熱されてよい。エッチングは、半導体基板上の酸化物層に開口部をエッチングする工程を含んでよく、開口部は、パターニングされたフォトレジストによって規定される。
プラズマチャンバは、さらに、例えば、温度制御部と、温度制御部に熱応答して温度制御プレートを加熱するヒータに電力を供給するよう適合された電源と、温度制御部に応答してチャンバの温度制御された上壁に流体を供給するよう適合された流体制御部と、シャワーヘッド電極の1または複数の部分の温度を測定し、温度制御部に情報を供給するよう適合された温度センサ構成とを備えてよい。
シャワーヘッド電極アセンブリの図示した実施形態は、さらに、プラズマチャンバ内に処理ガスを分配するために用いられるアルミニウムバッフルリング構成120を備える。図1のアルミニウムバッフルリング構成120は、アルミニウムまたはアルミニウム合金(6061アルミニウムなど)から形成された6つのリングを備える。なお、6061アルミニウムは、重量で、約96から約98%のAl、約0.8から約1.2%のMg、約0.4から約0.8%のSi、約0.15から0.4%のCu、約0.04から0.35%のCr、ならびに、随意的にFe、Mn、Znおよび/またはTiを含む。バッフルリング120は、陽極酸化された外側表面を有しうる。6つの同心のL字型リングは、バッキング部材102の上方かつ温度制御プレート101の下方に存在するプレナム内に配置される。例えば、中央プレナムは、単一のリングを備えてよく、隣接するプレナムは、1/2から1インチのギャップで隔てられた2つのリングを備えてよく、次に隣接するプレナムは、1/2から1インチのギャップで隔てられた2つのリングを備えてよく、外側プレナムは、単一のリングを備えてよい。リングは、温度制御プレート101にねじで取り付けられる。例えば、各リングは、ねじを受け入れるための貫通孔を有する円周方向に離間されたスタンドオフまたはボスを備えてよく、例えば、離間して配列された3つのボスが用いられてよい。各リングは、約0.040インチの厚さの水平部分と、約1/4インチの長さの垂直フランジとを有してよい。
アルミニウムバッキングプレート106の上面134および温度制御プレート101の環状突出部136が、シャワーヘッド電極アセンブリ100の動作中に接触領域132において接触すると、温度制御プレート101と、バッキングプレート106およびバッキングリング108を含むアルミニウムバッキング部材102との間で、それらの間に位置する接触領域に沿って、摩耗が生じうる。摩耗の詳細については、本願の権利者が所有する同時係属の米国特許出願第11/869,375号に記載されており、その内容全体が、参照によって本明細書に組み込まれる。温度制御プレート101において、接触領域132は、バッキングプレート102の表面積の約1%から約30%の範囲にわたりうる。
この摩耗は、温度制御プレート101およびアルミニウムバッキング部材102の両方に起こりうるものであり、温度サイクリングの結果として、温度制御プレート101およびアルミニウムバッキング部材102の対向面の間で生じる相対移動および摩擦によって引き起こされる。この摩耗は、多くの理由から非常に望ましくない。第1に、摩耗は、熱伝達の減少、ひいては、例えば、図の内側電極部材105を含む上側電極103における局所的な温度の不均一など、温度のばらつきを引き起こしうる。この温度のばらつきは、プラズマ処理チャンバ内で半導体基板を処理する際に工程変化を引き起こしうる。
温度制御プレート101およびアルミニウムバッキング部材102の摩耗は、さらに、粒子生成を引き起こしたり、温度制御プレート101およびアルミニウムバッキング部材102の融合を引き起こしたりすることがあり、後者の場合、これらの構成要素を分離するには過度の力が必要であり、これらの構成要素への損傷につながりうる。
また、温度制御プレート101およびアルミニウムバッキング部材102の摩耗は、上側電極103の洗浄をさらに困難にしうる。
さらに、温度制御プレート101およびアルミニウムバッキング部材102の摩耗は、これらの構成要素の表面的な外観を劣化させ、寿命を短くする。
図2は、温度制御プレート101、アルミニウムバッキングプレート106、および、バッキングリング108の摩耗の発生を低減し、その結果として、かかる摩耗に関連する問題を低減する改良を行ったシャワーヘッド電極アセンブリの代表的な実施形態を示す。特に、図2に示すように、熱・電気伝導性ガスケット145と、粒子低減シール部147aおよび147bと、を備える境界部材151が、温度制御プレートの環状突出部136の下面とアルミニウムバッキングプレート102の上面134との間に配置される。
図3は、境界部材151の一実施形態の一部を示す断面図である。図に示すように、境界部材151は、粒子低減シール部147aによって周縁部149を囲まれた熱・電気伝導性ガスケット部145を備える。この実施形態において、ガスケット部145は、上側部分141bおよび下側部分141aの間に中央部分143が挟まれた構成など、同軸の環状リングの積層体を含むことが好ましい。例えば、中央部分143は、アルミニウム片であってよく、上側および下側部分141b/141aは、炭素含有シリコーン片であってよい。あるいは、ガスケット部145は、窒化ホウ素で満たされたシリコーン(Chomerics社製のCHO−THERM1671など)、グラファイト(Graftech社製のeGraf705など)、インジウム箔、サンドイッチ(Bergquist社製のQ−padIIなど)、または、相変化材料(PCM)(Thermagon社製のT−pcm HP105など)などの熱充填材料(thermal filler material)である。
熱・電気伝導性ガスケット部145は、例えば、伝導性シリコーンアルミニウム箔サンドイッチガスケット構造、または、エラストマ・ステンレス鋼サンドイッチガスケット構造であってよい。好ましい実施形態では、ガスケット145は、ミネソタ州チャンハッセンにあるBergquist社で購入できるBergquist Q−Pad II複合材料である。これらの材料は、熱的/電気的に伝導性のゴムで両側を被覆されたアルミニウムを含む。材料は、真空環境に適合する。温度制御プレートおよびアルミニウムバッキング部材(例えば、バッキング部材)の接触面は各々、機械加工などの処理によって生じたある程度の粗さを有する。ガスケット材料は、接触面の表面の粗さを相殺し、接触面の領域(例えば、マイクロボイド)を効果的に充填して接触面間の熱接触を強化するように、十分にコンプライアントであることも好ましい。ガスケット部は、(Geltec社で購入可能な)Lambda Gel COH−4000であることが最も好ましい。
ガスケット材料からのグラファイト生成を最小化するために、ガスケットは、ワイピングなどによって、脱イオン水を用いて洗浄されてよい。あるいは、ガスケット材料は、フッ素エラストマ材料などの適切なコーティング材料で被覆されてもよい。
粒子低減シール部147a/147bは、真空環境におけるラジカルによる腐食に耐性のあるエラストマまたはポリマであってよい。シール部147a/147bは、真空環境でプラズマによって生成されるラジカルによる腐食に耐性があり、高温(200℃より高い温度など)での劣化に耐性のあるin-situ(その場)硬化エラストマまたはポリマであることが好ましい。160℃を越えるプラズマ環境で利用可能なポリマ材料としては、ポリイミド、ポリケトン、ポリエーテルケトン、ポリエーテルスルホン、ポリエチレン・テレフタレート、フルオロエチレン−プロピレン共重合体、セルロース、トリアセテート、シリコーン、および、ゴムが挙げられる。
シール部147a/147bは、接着強度、弾性係数、浸食速度、温度耐性など、適切な硬化前および硬化後の特性を示すin-situ(その場)室温加硫(RTV)無充填シリコーンであることがより好ましい。例えば、in-situ(その場)硬化可能なシリコーンは、白金、過酸化物、または、熱を用いて、2剤または1剤型の硬化樹脂であってよい。シリコーンエラストマ材料は、メチル基を伴ったSi−O骨格(シロキサン)を有することが好ましい。しかし、炭素または炭素−フッ素骨格が用いられてもよい。シリコーン材料は、熱・電気伝導性ガスケット部145をチャンバ内の真空環境から隔離するために、その場で硬化して、無充填架橋シリコーンゴムを形成することが最も好ましい。特に好ましいエラストマは、Rhodia社からRhodorsil V217という名称で入手可能な触媒硬化型(例えば、白金硬化型)エラストマなどのポリジメチルシロキサン含有エラストマであり、250℃以上の温度で安定なエラストマである。
熱・電気伝導性ガスケット145は、導電性(電極にRF経路を提供するため)かつ熱伝導性である材料から形成されることで、温度制御プレート101およびアルミニウムバッキングプレート106の間に電気的および熱的な伝導を提供する。ガスケット145は、導電性の熱界面を提供する。ガスケット145は、さらに、内側電極部材105を含む上側電極103と、温度制御プレート101との間の熱伝導を強化する。粒子低減シール部147a/147bは、浸漬被覆、成形、噴霧被覆などによって、熱・電気伝導性ガスケット部145の周縁部149上に施されてよい。
シール部147a/147bは、ガスケット部145の周縁部149上に噴霧被覆されることが好ましい。噴霧被覆によって、低減シール部147a/147bの様々な断面形状(プロファイル)を実現することが可能であり、例えば、図3は、角丸長方形の断面を有する低減シール部147a/147bを示している。必要に応じて、ガスケット部145は、粒子低減シール部147aおよび147bがそれぞれ、ガスケット部145に結合される場所となる外周および内部開口を有する環状リングの形状であってよい。図4は、外周149に結合されたシール部147aおよび内部開口155に結合されたシール部147bを備えた環状リングとして成形されたガスケット部145を備える境界部材151の一実施形態を示す平面図である。図4には、ボルト孔157も図示されており、温度制御プレートの環状突出部136の下面とアルミニウムバッキングプレート102の上面134との間に境界部材151を配置した状態で、温度制御プレート101の孔にボルト(図示せず)を挿入して、バッキングプレート106およびバッキングリング108のねじ切り開口部にねじ込むことを可能にする。
また、好ましくは、図5Aに示すように、シール部147a/147bは、ガスケット部145の外周および内部開口に重なるようなサイズの環状リング形状を有する未硬化のエラストマシート147c/147d/147e/147fの形態であってよい。未硬化のエラストマシート147c/147d/147e/147fは、ガスケット部145上に配置され(図5B)、硬化されて境界部材151を形成する(図5C)。
図2に示すように、粒子低減シール147a/147bは、各環状ガスケット部145の外側および内側の周縁部149に配置されたOリングなどの形状であってよい。より一般的には、(図2および図4を参照すると)境界部材151の粒子低減シール部147a/147bは、曲面を有すると共に、各熱・電気伝導性ガスケット部145の外周153および内部開口155から突出していてよい。複数の環状突出部136および環状の熱・電気伝導性ガスケット部145が存在すれば、複数のシール147a/147b、例えば、4個から20個のシール部147a/147bが設けられる。複数の環状ガスケット部145は、かなり正確な配置を必要とする。境界部材151は、ガスケット部145の周縁部149に結合された粒子低減シール部147a/147bを提供するので、境界部材151を取り付けることで、粒子低減シール部147a/147bによって真空チャンバから密封された熱・電気伝導性ガスケット部145の正確な取り付けを簡単に実現できる。特に、接触領域132における環状ガスケット145の接触面が小さい場合に、取り付け時の位置のばらつきを許容できる。
また、図2に示すように、ガスケット145とほぼ同じ厚さを有するシム146が、アルミニウムバッフルリング120と、温度制御プレート101の下面142との間に配置される。シム146は、誘電材料から形成されてよい。
温度制御プレート101は、バッキングプレート106の背面側にプレナムを確立するいくつかの環状突出部136、例えば、2から10、好ましくは4個から8個の突出部を備える。境界部材151は、各環状突出部の接触面を覆うよう構成される。
図6は、温度制御プレートの環状突出部136の下面と、アルミニウムバッキングプレート102の上面134との間に配置された別の実施形態の境界部材151’を示す。図に示すように、この実施形態の境界部材151’は、粒子低減部147a’/147b’によって各周縁部を囲まれた熱・電気伝導性ガスケット部145’を有する。例えば、図6に示した境界部材151’は、第1の同一平面粒子低減シール部147a’によって外周を囲まれ、第2の同一平面粒子低減シール部147b’によって内部開口を囲まれた環状の熱・電気伝導性ガスケット部145’を有する。境界部材151’を備えるかかる実施形態のシャワーヘッド電極アセンブリは、複数の別個の熱・電気伝導性ガスケットと、各熱・電気伝導性ガスケットのための別個の外側および内側シール(Oリングなど)とを取り付ける場合に必要な部品数よりも少ない数の部品で済む。境界部材151’は、取り付けが容易であり、接触領域132を完全にカバーする。複数の締め具(3から15個のボルトなど)を、環状ガスケット部145/145’の各々の開口部157(図4)に通して、温度制御プレート101をバッキングプレート106に固定する。さらに、境界部材151’を用いることにより、必要に応じて、バッフル120およびシム146を省略できる。
接触領域132を通しての熱伝達を強化することによって、内側電極部材105を含む上側電極103と、温度制御プレート101との間の温度差を低減することができ、その結果、一連の複数のウエハの連続的な処理の際に、「最初のウエハ効果(first wafer effects)」も軽減されうる。すなわち、「最初のウエハ効果」とは、最初に処理されるウエハの加熱によって間接的に引き起こされるその後のウエハの二次的な加熱を指す。具体的には、最初のウエハの処理の完了後に、加熱された処理済みのウエハおよび処理チャンバの側壁が、上側電極に向かって熱を放射する。次いで、上側電極は、その後にチャンバ内で処理されるウエハに対する二次的な加熱メカニズムを間接的に提供する。結果として、ウエハ温度のばらつきは、半導体基板に高アスペクト比のコンタクトビアをエッチングする際にクリティカルディメンション(CD)に影響しうるため、そのシステムによって処理された最初のウエハは、そのシステムによって処理されたその後のウエハよりも大きいCDのばらつきを示しうる。その後に処理されたウエハは、チャンバ内の温度が安定化するため、最初に処理されたウエハとは異なるおよび/またはそれよりも小さいCDのばらつきを有しうる。
接触領域132を通しての熱伝達を強化することによって、ウエハ内およびウエハ間の温度のばらつきを低減できることも好ましい。また、所望の処理またはスループットのために、異なる処理ラインの複数のプラズマエッチングチャンバを利用する場合に、接触領域132を通しての熱伝達を強化することによって、チャンバ間の温度の一致を実現できることが好ましい。
通例、ウエハ内、ウエハ間、または、チャンバ間でウエハ温度が摂氏1℃変化すると、3σ(3×標準偏差)で約0.5〜0.1nmだけ、CDのばらつきが増加する(例えば、0.4nm/℃〜0.2nm/℃または0.35nm/℃〜0.25nm/℃)。
上述のように、最初のウエハが処理された後、その後に処理されるウエハの温度は安定しうるため、その後に処理されるウエハ上の基準点の温度のばらつきは、好ましくは約10℃未満、より好ましくは約5℃未満になり、それにより、例えば、半導体基板に高アスペクト比のコンタクトビアをエッチングするために、CDのばらつきを、約5nm(0.5nm/℃×10℃)以内、より好ましくは約3nm(0.3nm/℃×10℃)以内、最も好ましくは約0.5nm(0.1nm/℃×5℃)以内に抑えることができる。
メモリの用途については、CDのばらつきは、3σで4nm未満であることが望ましい。境界部材151/151’によって接触領域132での熱伝達を強化すると、CDのばらつきは、ウエハ間では1nm以下、チャンバ間で4nm以下となることが好ましい。論理回路の用途については、CDのばらつきは、3σで3nm未満であることが望ましい。境界部材151/151’によって接触領域132での熱伝達を強化すると、CDのばらつきは、ウエハ間では2nm以下、チャンバ間で4nm以下となることが好ましい。
境界部材151/151’は、電極の中央部から電極の端部までの温度変化を10℃未満に最小化し、方位角での温度変化を5℃以下に最小化することが好ましい。新しいまたは使い古したアルミニウムバッキング部材を用いることによって生じる電極温度のばらつきは、新しいおよび使い古したアルミニウムバッキング部材の接触面の条件に関連するものである。境界部材151/151’は、新しいおよび使い古したアルミニウムバッキング部材によって引き起こされる電極温度の変化を約5℃未満に最小化できることが好ましい。また、洗浄のために部品を取り外すことが可能であり、かかる洗浄後に部品が同じ伝熱性能を示すことが好ましい。境界部材151/151’は、アルミニウムバッキング部材の洗浄前後の伝熱性能の変化を約5℃未満の電極温度変化まで最小化することが好ましい。
境界部材151/151’は、さらに、温度制御プレート101およびアルミニウムバッキング部材102の融合または摩耗を低減または防止できることが好ましく、これらの構成要素を最小限の力で引き離すことを可能にする。
好ましくは、境界部材151/151’は、以下のような性質を持つことが好ましい材料から形成される:例えば、約10から約200mTorrの高真空環境で気体放出しない;粒子生成能が低い;接触領域における剪断に対応するためにコンプライアントである;Ag、Ni、Cuなど、半導体基板の寿命を縮める金属成分を含まない;および、アルミニウムバッキング部材102の洗浄中の粒子生成を最小化できる。
図7は、シャワーヘッド電極アセンブリの別の実施形態の一部を示す。図2および図6を参照すると、図7に示す実施形態は、バッキング部材を備えておらず、温度制御プレート101は、内側電極部材105に直接固定される。
上側電極103の上面160および温度制御プレート101の環状突出部136が、シャワーヘッド電極アセンブリ100の動作中に接触領域158において接触すると、温度制御プレート101と、内側電極部材105および随意的な外側電極部材107を含む上側電極103との間で、それらの間に位置する接触領域に沿って、摩耗が生じうる。
この摩耗は、温度制御プレート101および上側電極103の両方に起こりうるものであり、温度サイクリングの結果として、温度制御プレート101および上側電極103の対向面の間で生じる相対移動および摩擦によって引き起こされる。摩耗は、アルミニウムバッキングプレート106の上面134および温度制御プレート101の環状突出部136がシャワーヘッド電極アセンブリ100の動作中に接触領域において接触することに関連して上述したのと同じ理由から望ましくない。例えば、摩耗は、熱伝達の減少、ひいては、例えば、図の内側電極部材105を含む上側電極103における局所的な温度の不均一など、温度のばらつきを引き起こしうる。この温度のばらつきは、プラズマ処理チャンバ内で半導体基板のプラズマエッチングなどの処理を行う際に、工程変化を引き起こしうる。
温度制御プレート101および上側電極103の摩耗は、さらに、粒子生成を引き起こしたり、温度制御プレート101および上側電極103の融合を引き起こしたりすることがあり、後者の場合、これらの構成要素を分離するには過度の力が必要であり、これらの構成要素への損傷につながりうる。また、温度制御プレート101および上側電極103の摩耗は、上側電極103の洗浄をさらに困難にしうる。さらに、温度制御プレート101および上側電極103の摩耗は、これらの構成要素の表面的な外観を劣化させ、寿命を短くする。
図7に示したシャワーヘッド電極アセンブリは、さらに、図1に示した外側電極部材107など、随意的な外側電極部材を備えてよい。外側電極部材は、複数の部分を備えるリング構成を有してよい。温度制御プレート101は、締め具および/または接着剤(例えば、エラストマ接着剤)などの任意の適切な方法で内側電極部材105および随意的な外側電極部材107に直接固定されてよい。図7に示すように、内側電極部材105の上面160と、温度制御プレート101の環状突出部136との間に、接触領域158が存在する。実施形態において、温度制御プレート101の外面は、(陽極酸化されていない)裸アルミニウムである接触領域158における表面を除いて、陽極酸化されていてよい。接触領域158は、内側電極部材105から熱を除去するための熱経路と、内側電極部材105を流れるRF電力のためのRF経路とを提供する。
図2を参照して上述したような境界部材151が、内側電極部材105の上面160と、温度制御プレート101の環状突出部136との間に提供される。上述のように、熱・電気伝導性ガスケット部145は、内側電極部材105から熱を除去するための熱経路と、内側電極部材105を流れるRF電力のためのRF経路とを提供する。粒子低減シール部147a/147bが、ガスケット部145に接着されており、アルミニウムバッフルリング120および上面160の間のオフセット139内に配置されて気密シールを形成する。バッフルリング120の垂直壁の上端は、シム146によって温度制御プレート101の下面142から分離される。シム146は、通例、Kapton(登録商標)などの誘電材料から形成される。
図8は、温度制御プレート101と、内側電極部材105(および、随意的な外側電極部材)との間でそれらの間の接触領域に沿って起こりうる摩耗の発生を低減し、その結果として、かかる摩耗に関連する問題(粒子生成など)を低減するためのシャワーヘッド電極アセンブリの境界部材151’の一実施形態を示す。例えば、シリコン電極部材については、摩耗は、シリコン粒子生成およびアルミニウム粒子生成を引き起こしうる。特に、図8に示すように、境界部材151’は、温度制御プレート101の環状突出部136の下面と、内側電極部材105の上面160との間に配置される。境界部材151’は、温度制御プレート101内に形成されたプレナムの内、隣接するプレナムを互いに分離する。
境界部材151’は、図6および図7に示したシャワーヘッド電極アセンブリの実施形態に関して上述した境界部材151/151’と同じ材料で形成されてよい。ガスケット部145’の材料は、温度制御プレート101と、内側電極部材105(および、随意的な外側電極部材)との間に、電気的および熱的な伝導を提供するために、電気・熱伝導性である。すなわち、ガスケット145’は、接触領域間に導電性の熱界面を提供する。
また、図8に示すように、境界部材151’とほぼ同じ厚さを有するシム146が、アルミニウムバッフルリング120と、温度制御プレート101の下面142との間に配置される。シム146は、誘電材料から形成されてよい。境界部材151’を用いることにより、必要に応じて、アルミニウムバッフル120およびシム146を省略できる。
図2および図6〜8に示したシャワーヘッド電極アセンブリの改良は:部品数を減らし;取り付けを簡単にし;接触領域132/158を完全にカバーし;温度制御プレート101と、内側電極部材105(および、随意的な外側電極部材)との間でそれらの間の接触領域132/158に沿って起こりうる摩耗の発生を低減し、その結果として、かかる摩耗に関連する問題(粒子生成など)を低減する。例えば、シリコン電極部材については、摩耗は、シリコン粒子生成およびアルミニウム粒子生成を引き起こしうる。特に、図8に示すように、境界部材151’は、温度制御プレート101の環状突出部136の下面と、内側電極部材105の上面160との間に配置される。境界部材151’は、温度制御プレート101内に形成されたプレナムの内、隣接するプレナムを互いに分離する。
本発明の具体的な実施形態を参照しつつ本発明について説明したが、添付の特許請求の範囲を逸脱することなく、様々な変更および変形を行い、等価物を用いることが可能であることは、当業者にとって明らかである。

Claims (21)

  1. シャワーヘッド電極アセンブリであって、
    真空チャンバ内部に取り付けられ、高周波(RF)エネルギによって電力供給されるよう適合されたシャワーヘッド電極と、
    前記シャワーヘッド電極に取り付けられたバッキングプレートと、
    前記バッキングプレートにおける複数の接触領域で複数の締め具によって前記バッキングプレートに取り付けられた温度制御プレートと、
    前記接触領域において前記バッキングプレートおよび前記温度制御プレートを分離する境界部材と、
    を備え、
    各境界部材は、粒子低減シール部によって周縁部を囲まれた熱・電気伝導性ガスケット部を備え、該周縁部に前記粒子低減シール部が結合される、シャワーヘッド電極アセンブリ。
  2. 請求項1に記載のシャワーヘッド電極アセンブリであって、
    前記接触領域は、前記温度制御プレートの下面上に離間して設けられた複数の環状突出部を含み、
    前記境界部材は、前記環状突出部を覆うようなサイズの複数の環状境界部材を含み、
    前記粒子低減シール部は、各境界部材の外周および内部開口において前記熱・電気伝導性ガスケット部を囲んでいる、シャワーヘッド電極アセンブリ。
  3. 請求項2に記載のシャワーヘッド電極アセンブリであって、
    前記外周に配置された前記粒子低減シール部は、曲面を有すると共に、前記熱・電気伝導性ガスケット部から突出しており、
    前記内部開口に配置された前記粒子低減シール部は、曲面を有すると共に、前記熱・電気伝導性ガスケット部から突出している、シャワーヘッド電極アセンブリ。
  4. 請求項1に記載のシャワーヘッド電極アセンブリであって、
    前記温度制御プレートおよび前記バッキングプレートは、非陽極酸化アルミニウムから形成されており、
    前記境界部材の前記熱・電気伝導性ガスケット部は、金属およびポリマ材料の積層体であり、
    前記境界部材の前記粒子低減シール部は、耐食性のエラストマまたはポリマを含む、シャワーヘッド電極アセンブリ。
  5. 請求項1に記載のシャワーヘッド電極アセンブリであって、
    前記シャワーヘッド電極は、内側電極および外側電極を備えており、
    前記内側電極は、単結晶シリコンの円形プレートであり、
    前記外側電極は、単結晶シリコンの複数の部分で構成されたリング状電極である、シャワーヘッド電極アセンブリ。
  6. 請求項3に記載のシャワーヘッド電極アセンブリであって、さらに、
    前記環状突出部の間のプレナム内に陽極酸化アルミニウムのバッフルリングを備え、
    各バッフルリングは、前記環状突出部の1つに隣接する垂直壁を備え、
    前記垂直壁は、前記接触領域に隣接する下端にオフセットを備え、
    各粒子低減シール部は、前記オフセットの1つの中に配置されて、前記接触領域の両側にシールを形成する、シャワーヘッド電極アセンブリ。
  7. 請求項1に記載のシャワーヘッド電極アセンブリであって、
    前記締め具は、前記バッキング部材内に螺入されるボルトを含み、
    前記熱・電気伝導性ガスケット部は、前記ボルトを前記バッキング部材まで通す大きさを有する貫通孔を備える、シャワーヘッド電極アセンブリ。
  8. 請求項6に記載のシャワーヘッド電極アセンブリであって、
    前記バッフルリングの前記垂直壁の上端は、前記境界部材の前記熱・電気伝導性ガスケット部と同じ厚さを有するシムによって前記温度制御プレートの下面から分離されている、シャワーヘッド電極アセンブリ。
  9. 請求項1に記載のシャワーヘッド電極アセンブリであって、さらに、
    前記温度制御プレートの上面に熱チョークを備える、シャワーヘッド電極アセンブリ。
  10. 請求項1に記載のシャワーヘッド電極アセンブリであって、
    前記境界部材は、銀、ニッケル、および、銅を含まず、
    前記接触領域は、前記バッキングプレートの表面積の1%から30%に広がる、シャワーヘッド電極アセンブリ。
  11. 請求項1に記載のシャワーヘッド電極アセンブリを備える真空チャンバであって、さらに、
    請求項1に記載のシャワーヘッド電極アセンブリの温度を制御する温度制御部と、
    前記温度制御部に熱応答して前記温度制御プレートを加熱するヒータに電力を供給するよう適合された電源と、
    前記温度制御部に応答して前記チャンバの温度制御された上壁に流体を供給するよう適合された流体制御部と、
    前記シャワーヘッド電極の1または複数の部分の温度を測定し、前記温度制御部に情報を提供するよう適合された温度センサ構成と、
    を備え、
    前記真空チャンバの前記上壁は、随意的に、電気的に接地される、真空チャンバ。
  12. 請求項1に記載のシャワーヘッド電極アセンブリであって、
    前記シャワーヘッド電極は、シリコン電極プレートを備え、
    前記シリコン電極プレートは、一方の側にガス流出口を有し、反対の側が、非陽極酸化アルミニウムから形成された前記バッキングプレートにエラストマ接着されている、シャワーヘッド電極アセンブリ。
  13. プラズマエッチングチャンバ内でプラズマエッチングを制御する方法であって、
    請求項1に記載のシャワーヘッド電極アセンブリを通して前記プラズマエッチングチャンバに処理ガスを供給する工程であって、前記処理ガスは、前記シャワーヘッド電極と、半導体基板を支持する下側電極との間のギャップ内に流れ込む、工程と、
    前記シャワーヘッド電極にRF電力を印加して前記処理ガスをプラズマ状態に励起することによって、前記プラズマエッチングチャンバ内で前記半導体基板をエッチングする工程と、
    を備え、
    前記シャワーヘッド電極の温度は、前記境界部材の前記熱・電気伝導性ガスケット部を通して強化された熱伝導を用いて前記温度制御プレートによって制御される、方法。
  14. 請求項13に記載の方法であって、さらに、
    前記シャワーヘッド電極を少なくとも80℃の温度に加熱する工程を備える、方法。
  15. 請求項14に記載の方法であって、
    前記シャワーヘッド電極を加熱する工程は、前記シャワーヘッド電極を少なくとも100℃の温度に加熱して維持する工程を備える、方法。
  16. 請求項14に記載の方法であって、
    前記シャワーヘッド電極を加熱する工程は、前記シャワーヘッド電極を少なくとも180℃の温度に加熱して維持する工程を備える、方法。
  17. 請求項13に記載の方法であって、
    前記シャワーヘッド電極を加熱する工程は、前記半導体基板の前記エッチングの前に実行され、
    前記エッチングは、パターニングされたフォトレジストによって前記半導体基板上の酸化物層に規定された開口部をエッチングする工程を備え、
    前記開口部は、パターニングされたフォトレジストによって規定される、方法。
  18. シャワーヘッド電極アセンブリであって、
    真空チャンバの内部に取り付けられるよう適合されたシャワーヘッド電極と、
    前記シャワーヘッド電極における複数の接触領域で前記シャワーヘッド電極に取り付けられた温度制御プレートであって、前記温度制御プレートと、前記複数の接触領域の間に位置する前記シャワーヘッド電極との間にプレナムが存在する、温度制御プレートと、
    前記接触領域において前記シャワーヘッド電極および前記温度制御プレートの間に配置された境界部材と、
    を備え、
    各境界部材は、粒子低減シール部によって周縁部を囲まれた熱・電気伝導性ガスケット部を備え、該周縁部に前記粒子低減シール部が結合される、シャワーヘッド電極アセンブリ。
  19. 請求項18に記載のシャワーヘッド電極アセンブリであって、さらに、
    前記シャワーヘッド電極に取り付けられたバッキングプレートを備え、
    前記接触領域は、前記温度制御プレートの下面上に離間して設けられた環状突出部の表面を含み、前記接触領域は、前記バッキングプレートの表面積の1%から30%に広がり、
    前記境界部材は、前記環状突出部の各々と、前記電極の上面との間に配置された環状境界部材を含み、
    前記境界部材の前記熱・電気伝導性ガスケット部は、銀、ニッケル、および、銅を含まない金属およびポリマ材料の積層体で構成され、
    前記境界部材の前記粒子低減シール部は、各熱・電気伝導性ガスケット部の外周および内部開口を境界し、シリコーンから形成される、シャワーヘッド電極アセンブリ。
  20. 請求項19に記載のシャワーヘッド電極アセンブリであって、
    前記シャワーヘッド電極は、内側電極および外側電極を備えており、前記内側電極は、単結晶シリコンの円形プレートであり、前記外側電極は、単結晶シリコンの複数の部分で構成されたリング状電極であり、
    前記境界部材の前記粒子低減シール部は、曲面を有すると共に、各熱・電気伝導性ガスケット部の外周および内部開口から突出しており、
    前記シャワーヘッド電極アセンブリは、さらに、
    前記環状突出部の間のプレナム内に陽極酸化アルミニウムのバッフルリングを備え、各バッフルリングは、前記環状突出部の1つに隣接する垂直壁を備え、前記垂直壁は、前記接触領域に隣接する下端にオフセットを備え、
    前記粒子低減シール部は、前記オフセット内に配置されて、前記接触領域の両側にシールを形成し、
    前記バッフルリングの前記垂直壁の上端は、前記境界部材の前記熱・電気伝導性ガスケット部と同じ厚さを有するシムによって前記温度制御プレートの下面から分離されている、シャワーヘッド電極アセンブリ。
  21. 請求項19に記載のシャワーヘッド電極アセンブリであって、
    前記温度制御プレートは、非陽極酸化アルミニウムで形成された前記接触領域を除いて陽極酸化された外面を有する、シャワーヘッド電極アセンブリ。
JP2011513478A 2008-06-09 2009-05-22 プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法 Active JP5560267B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/155,739 US8679288B2 (en) 2008-06-09 2008-06-09 Showerhead electrode assemblies for plasma processing apparatuses
US12/155,739 2008-06-09
PCT/US2009/003186 WO2009151538A1 (en) 2008-06-09 2009-05-22 Showerhead electrode assemblies for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
JP2011523229A JP2011523229A (ja) 2011-08-04
JP5560267B2 true JP5560267B2 (ja) 2014-07-23

Family

ID=41400708

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011513478A Active JP5560267B2 (ja) 2008-06-09 2009-05-22 プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法

Country Status (7)

Country Link
US (2) US8679288B2 (ja)
EP (1) EP2301067B1 (ja)
JP (1) JP5560267B2 (ja)
KR (1) KR101546992B1 (ja)
CN (1) CN102057471B (ja)
TW (1) TWI531682B (ja)
WO (1) WO2009151538A1 (ja)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
KR101189905B1 (ko) * 2010-07-13 2012-10-10 플란제 에스이 전극용 플라즈마 실드
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US20120073752A1 (en) * 2010-09-24 2012-03-29 Memc Electronic Materials, Inc. Adapter Ring For Silicon Electrode
JP5933602B2 (ja) 2011-03-04 2016-06-15 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ガス分配を行なう装置および基板処理装置
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
KR102074632B1 (ko) * 2011-11-23 2020-02-06 램 리써치 코포레이션 상부 전극들의 이중 존 온도 제어
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极系统
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
US9982340B2 (en) 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
JP2013254901A (ja) * 2012-06-08 2013-12-19 Toshiba Corp シール材およびエッチング装置
CN103903946B (zh) * 2012-12-26 2017-11-17 中微半导体设备(上海)有限公司 一种用于等离子反应器的气体喷淋头
US9610591B2 (en) 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10373810B2 (en) 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
JP6278498B1 (ja) * 2017-05-19 2018-02-14 日本新工芯技株式会社 リング状部材の製造方法及びリング状部材
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US20180366354A1 (en) * 2017-06-19 2018-12-20 Applied Materials, Inc. In-situ semiconductor processing chamber temperature apparatus
TWI788390B (zh) * 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
CN109616813B (zh) * 2017-09-29 2020-05-22 中微半导体设备(上海)股份有限公司 一种侧装结构及其安装方法及接地环侧装的刻蚀装置
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
KR102256691B1 (ko) * 2017-10-24 2021-05-26 세메스 주식회사 기판 처리 장치 및 방법
WO2019235282A1 (ja) * 2018-06-07 2019-12-12 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
CN108760828B (zh) * 2018-08-15 2023-07-28 河北科瑞达仪器科技股份有限公司 一种用于液体电导率测量的装置
CN110942969B (zh) * 2018-09-21 2022-08-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头组件及其等离子体处理设备
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR20220014333A (ko) 2019-05-31 2022-02-04 그린, 트위드 테크놀로지스, 인코포레이티드 반도체 밸브에 유용한 시일 특성의 모니터링 및 분석을 위한 스마트 시일
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
JP7296829B2 (ja) * 2019-09-05 2023-06-23 東京エレクトロン株式会社 プラズマ処理装置、処理方法、上部電極構造
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
US20210238745A1 (en) * 2020-02-03 2021-08-05 Applied Materials, Inc. Showerhead assembly
CN114188206B (zh) * 2020-09-15 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其上电极组件的调节方法
US20220223384A1 (en) * 2021-01-14 2022-07-14 Samsung Electronics Co., Ltd. Apparatus for manufacturing a semiconductor device
WO2024054774A1 (en) * 2022-09-07 2024-03-14 Lam Research Corporation Shaped silicon outer upper electrode for plasma processing

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US701726A (en) * 1901-12-30 1902-06-03 George F Hodkinson Filter.
US4654754A (en) * 1982-11-02 1987-03-31 Fairchild Weston Systems, Inc. Thermal link
US4598249A (en) * 1984-02-29 1986-07-01 Rca Corporation Method using surface photovoltage (SPV) measurements for revealing heavy metal contamination of semiconductor material
US4782893A (en) * 1986-09-15 1988-11-08 Trique Concepts, Inc. Electrically insulating thermally conductive pad for mounting electronic components
US4960612A (en) * 1987-07-02 1990-10-02 At&T Bell Laboratories Thermal conductor assembly method
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
EP0661916B1 (en) * 1993-07-06 2000-05-17 Kabushiki Kaisha Toshiba Thermal conductivity sheet
CA2129073C (en) * 1993-09-10 2007-06-05 John P. Kalinoski Form-in-place emi gaskets
DE4339786C5 (de) * 1993-11-18 2004-02-05 Emi-Tec Elektronische Materialien Gmbh Verfahren zur Herstellung einer Anordung zur Wärmeableitung
US5545473A (en) * 1994-02-14 1996-08-13 W. L. Gore & Associates, Inc. Thermally conductive interface
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5679457A (en) * 1995-05-19 1997-10-21 The Bergquist Company Thermally conductive interface for electronic devices
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3113796B2 (ja) 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
DE19636689A1 (de) * 1996-09-10 1998-03-12 Boehringer Ingelheim Kg Neue Benzamidinderivate
US5781412A (en) * 1996-11-22 1998-07-14 Parker-Hannifin Corporation Conductive cooling of a heat-generating electronic component using a cured-in-place, thermally-conductive interlayer having a filler of controlled particle size
US6247703B1 (en) * 1997-08-29 2001-06-19 Interface Solutions, Inc. High-pressure compression-failure resistant and high sealing gasket
US6096414A (en) * 1997-11-25 2000-08-01 Parker-Hannifin Corporation High dielectric strength thermal interface material
US6131646A (en) * 1998-01-19 2000-10-17 Trw Inc. Heat conductive interface material
US6220607B1 (en) * 1998-04-17 2001-04-24 Applied Materials, Inc. Thermally conductive conformal media
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6165612A (en) * 1999-05-14 2000-12-26 The Bergquist Company Thermally conductive interface layers
US6496373B1 (en) * 1999-11-04 2002-12-17 Amerasia International Technology, Inc. Compressible thermally-conductive interface
JP3839628B2 (ja) 1999-11-30 2006-11-01 芝浦メカトロニクス株式会社 プラズマ処理装置
US6343647B2 (en) * 2000-01-11 2002-02-05 Thermax International, Ll.C. Thermal joint and method of use
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6475933B1 (en) * 2000-01-27 2002-11-05 Northrop Grumman Corporation Highly conductive elastomeric sheet
AU2001243399A1 (en) * 2000-03-06 2001-09-17 Interface Solutions, Inc. Gaskets with controlled flange surface adhesion properties
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP2002093777A (ja) * 2000-07-11 2002-03-29 Nisshinbo Ind Inc ドライエッチング装置
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP4782761B2 (ja) 2001-02-09 2011-09-28 東京エレクトロン株式会社 成膜装置
US6651736B2 (en) * 2001-06-28 2003-11-25 Intel Corporation Short carbon fiber enhanced thermal grease
JP3868341B2 (ja) * 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
US7208192B2 (en) * 2002-05-31 2007-04-24 Parker-Hannifin Corporation Thermally or electrically-conductive form-in-place gap filter
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7205050B2 (en) * 2003-06-09 2007-04-17 Permatex, Inc. Low shear adhesion RTV silicone
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7014959B2 (en) * 2003-06-30 2006-03-21 International Business Machines Corporation CD uniformity of chrome etch to photomask process
US7137444B2 (en) * 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US7560007B2 (en) * 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses

Also Published As

Publication number Publication date
KR20110016442A (ko) 2011-02-17
US8679288B2 (en) 2014-03-25
KR101546992B1 (ko) 2015-08-25
CN102057471B (zh) 2013-02-20
EP2301067A1 (en) 2011-03-30
WO2009151538A1 (en) 2009-12-17
US9899228B2 (en) 2018-02-20
JP2011523229A (ja) 2011-08-04
EP2301067B1 (en) 2018-07-11
TWI531682B (zh) 2016-05-01
US20140154888A1 (en) 2014-06-05
US20090305509A1 (en) 2009-12-10
CN102057471A (zh) 2011-05-11
EP2301067A4 (en) 2013-08-28
TW201005126A (en) 2010-02-01

Similar Documents

Publication Publication Date Title
JP5560267B2 (ja) プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法
US8313665B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
JP5974054B2 (ja) 温度制御式ホットエッジリング組立体
JP5660753B2 (ja) プラズマエッチング用高温カソード
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
JP4995917B2 (ja) 石英ガードリング
TWI819137B (zh) 用以減少粒子產生的氣體擴散器組件
JP7446176B2 (ja) 載置台及びプラズマ処理装置
TW202116115A (zh) 用於保護電漿處理腔室中之靜電卡盤的被覆o形環

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110217

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140520

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140609

R150 Certificate of patent or registration of utility model

Ref document number: 5560267

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250