KR101546992B1 - 플라즈마 처리 장치용 샤워헤드 전극 조립체 - Google Patents

플라즈마 처리 장치용 샤워헤드 전극 조립체 Download PDF

Info

Publication number
KR101546992B1
KR101546992B1 KR1020107027653A KR20107027653A KR101546992B1 KR 101546992 B1 KR101546992 B1 KR 101546992B1 KR 1020107027653 A KR1020107027653 A KR 1020107027653A KR 20107027653 A KR20107027653 A KR 20107027653A KR 101546992 B1 KR101546992 B1 KR 101546992B1
Authority
KR
South Korea
Prior art keywords
electrode
showerhead electrode
thermal control
control plate
electrically conductive
Prior art date
Application number
KR1020107027653A
Other languages
English (en)
Other versions
KR20110016442A (ko
Inventor
톰 스티븐슨
라진더 딘드사
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110016442A publication Critical patent/KR20110016442A/ko
Application granted granted Critical
Publication of KR101546992B1 publication Critical patent/KR101546992B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

진공 챔버 내부에 장착되도록 구성된 샤워헤드 전극; 상기 샤워헤드 전극에 부착된 선택적 백킹 플레이트; 상기 백킹 플레이트에 걸친 다수의 접촉 영역에서 상기 백킹 플레이트 또는 상기 샤워헤드 전극에 부착된 열 제어 플레이트; 및 상기 접촉 영역에서, 상기 백킹 플레이트와 상기 열 제어 플레이트, 또는 열 제어 플레이트와 샤워헤드 전극을 분리시키는 적어도 하나의 인터페이스 부재를 포함하고, 상기 인터페이스 부재는 열적 및 전기적 전도성 개스킷부와 미립자 완화 밀봉부를 갖는, 샤워헤드 전극 조립체가 개시된다. 또한, 상기 샤워헤드 전극 조립체를 사용하여 반도체 기판을 처리하는 방법이 개시된다.

Description

플라즈마 처리 장치용 샤워헤드 전극 조립체{SHOWERHEAD ELECTRODE ASSEMBLIES FOR PLASMA PROCESSING APPARATUSES}
반도체 디바이스 처리 분야에서, 진공 처리 챔버를 포함하는 반도체 재료 처리 장치는, 기판상의 다양한 재료의 에칭 및 성막, 및 레지스트 박리와 같은 다양한 처리를 수행하는데 사용된다. 반도체 기술이 발전함에 따라, 디바이스 사이즈, 예를 들어, 트랜지스터 사이즈를 감소시키는 것은 웨이퍼 프로세스 및 프로세스 설비에서 더 높은 수준의 정밀도, 반복성 및 청결도를 요구한다. 다양한 형태의 설비는 플라즈마 에칭, 플라즈마 화학 기상 증착 (plasma-enhanced chemical vapor deposition; PECVD) 및 레지스트 박리 등과 같은 플라즈마의 사용을 포함하는 애플리케이션을 포함하는 반도체 처리를 위해 존재한다. 이들 프로세스에 요구되는 설비의 형태는 플라즈마 챔버 내에 배치되는 컴포넌트를 포함하고, 그러한 환경에서 기능해야 한다. 플라즈마 챔버 내부의 환경은 플라즈마에 대한 노출, 에천트 가스에 대한 노출, 및 열 사이클링을 포함할 수도 있다. 이러한 컴포넌트에 사용된 재료는 챔버 내의 환경적 조건에 견디도록 구성되어야 하고, 웨이퍼마다 다수의 프로세스 단계를 포함할 수도 있는 많은 웨이퍼의 처리를 위해 그렇게 해야 한다. 효과적인 비용을 위해, 이러한 컴포넌트들은 그들 기능성 및 청결도를 유지하면서 수백 또는 수천의 웨이퍼 사이클을 종종 견뎌내야 한다. 미립자를 생성하는 컴포넌트들에 대해서는, 이들 미립자들이 거의 없고 수십 나노미터 이하의 크기일지라도, 일반적으로 극히 낮은 허용 오차가 존재한다. 또한, 플라즈마 처리 챔버 내부에서 사용하기 위해 선택된 컴포넌트는 가장 비용이 효과적인 방식으로 이들 요구를 충족시킬 필요가 있다.
샤워헤드 전극 조립체의 일 실시형태는, 진공 챔버 내부에 장착되고 무선 주파수 (RF) 에너지에 의해 전력이 공급되도록 구성된 샤워헤드 전극; 상기 샤워헤드 전극에 부착된 백킹 플레이트 (backing plate); 상기 백킹 플레이트에 걸친 다수의 접촉 영역에서 복수의 패스너를 통해 상기 백킹 플레이트에 부착된 열 제어 플레이트; 및 상기 접촉 영역에서 상기 백킹 플레이트와 상기 열 제어 플레이트를 분리시키는 인터페이스 부재를 포함하고, 상기 인터페이스 부재 각각은 미립자 완화 밀봉부에 의해 주변부와 접경하는 열적 및 전기적 전도성 개스킷부를 포함한다.
플라즈마 에칭 챔버 내에서 플라즈마 에칭을 제어하는 방법의 일 실시형태는, 샤워헤드 전극 조립체를 통해 상기 플라즈마 에칭 챔버에 프로세스 가스를 공급하는 단계로서, 상기 프로세스 가스는 반도체 기판이 지지되는 하부 전극과 상기 샤워헤드 전극 사이의 갭 내로 흐르는, 상기 프로세스 가스를 공급하는 단계; 및 상기 샤워헤드 전극에 RF 전력을 인가하고 상기 프로세스 가스를 플라즈마 상태로 에너자이징함으로써 상기 플라즈마 에칭 챔버 내에서 반도체 기판을 에칭하는 단계를 포함하고, 상기 샤워헤드 전극의 온도는 상기 인터페이스 부재의 상기 열적 및 전기적 전도성 개스킷부를 통한 개선된 열적 전도성을 통해 상기 열 제어 플레이트에 의해 제어된다.
샤워헤드 전극 조립체의 다른 실시형태는, 진공 챔버의 내부에 장착되도록 구성된 샤워헤드 전극; 상기 샤워헤드 전극과 상기 열 제어 플레이트 사이의 플리넘이 상기 샤워헤드 전극에 걸친 다수의 접촉 영역에서 상기 샤워헤드 전극에 부착된 열 제어 플레이트로서, 상기 접촉 영역 사이에 위치된, 상기 열 제어 플레이트; 및 상기 접촉 영역에 있는, 상기 샤워헤드 전극과 상기 열 제어 플레이트 사이의 인터페이스 부재를 포함하고, 상기 인터페이스 부재 각각은 미립자 완화 밀봉부에 의해 주변부와 접경하는 열적 및 전기적 전도성 개스킷부를 포함한다.
도 1 은 반도체 재료 플라즈마 처리 장치의 샤워헤드 전극 조립체의 일 예시적인 실시형태를 예시한다.
도 2 는 인터페이스 부재의 일 실시형태를 포함하는 도 1 에 도시된 샤워헤드 전극 조립체의 일부의 확대도이다.
도 3 은 인터페이스 부재의 일 실시형태의 개략도이다.
도 4 는 인터페이스 부재의 일 실시형태의 평면도이다.
도 5a 내지 도 5c 는 인터페이스 부재의 일 실시형태를 예시한다.
도 6 은 인터페이스 부재의 다른 실시형태를 포함하는 도 1 에 도시된 샤워헤드 전극 조립체의 일부의 확대도이다.
도 7 은 인터페이스 부재의 일 실시형태를 포함하는 다른 실시형태에 따른 샤워헤드 전극 조립체의 일부를 예시한다.
도 8 은 인터페이스 부재의 다른 실시형태를 포함하는 다른 실시형태에 따른 샤워헤드 전극 조립체의 일부의 확대도이다.
실리콘 웨이퍼와 같은 반도체 기판용 플라즈마 처리 장치는, 반도체, 금속 및 유전체와 같은 재료를 에칭하기 위해 반도체 디바이스 제조 프로세스에 사용되는 플라즈마 에칭 챔버를 포함한다. 예를 들어, 유전체 에칭 챔버는 이산화 규소 또는 질화 규소와 같은 재료를 에칭하는데 사용될 수도 있다. 에칭 프로세스 동안, 에칭 챔버 내의 컴포넌트들은 가열되거나 냉각되어 그 결과로서 열적 스트레스를 경험한다. 가열된 샤워헤드 조립체의 능동적으로 가열된 컴포넌트에 대해서, 이러한 온도 사이클링은 증가된 미립자 발생을 초래할 수 있다.
샤워헤드 전극이 최소 온도 이하로 떨어지는 것을 방지하기 위한 히터를 갖는 샤워헤드 전극 조립체는, 공동 소유되고, 본 명세서에 그 전체가 참조로서 통합된, 미국 특허 공개 제 2005/0133160A1 호에 기재되어 있다. 히터는 플라즈마 에칭 챔버의 상벽 (top wall) 을 형성하는 온도 제어된 상부 플레이트와 함께 열 전달로 열 제어 플레이트와 협력한다.
도 1 은 상부 전극 (103) 및 상부 전극 (103), 열 제어 플레이트 (101), 및 상부 플레이트 (111) 에 고정된 선택적 백킹 (backing) 부재 (102) 를 포함하는 병렬 플레이트 용량 결합 플라즈마 챔버 (진공 챔버) 의 샤워헤드 조립체 (100) 의 이분의 일을 도시한다. 열 초크 (112) 는 열 제어 플레이트 (101) 의 상면에 제공될 수 있다. 상부 전극 (103) 은 반도체 기판 (162), 예를 들어, 반도체 웨이퍼를 지지하는 기판 지지체 (160) 위에 위치 결정된다.
상부 플레이트 (111) 는 플라즈마 에칭 챔버와 같은 플라즈마 처리 장치의 이동식 상벽을 형성할 수 있다. 도시된 바와 같이, 상부 전극 (103) 은 내측 전극 부재 (105), 및 선택적 외측 전극 부재 (107) 를 포함할 수 있다. 내측 전극 부재 (105) 는 전형적으로 단결정 실리콘으로 형성된다. 원하면, 내측 및 외측 전극 (105, 107) 은 CVD 탄화 규소, 단결정 실리콘 또는 다른 적합한 재료와 같은 단일체의 재료로 형성될 수 있다.
내측 전극 부재 (105) 는 예를 들어, 200㎜ 에 달하는, 처리될 웨이퍼보다 작거나, 처리될 웨이퍼와 같거나, 처리될 웨이퍼보다 큰 직경을 가질 수 있다. 300 ㎜ 웨이퍼와 같은 큰 반도체 기판을 처리하기 위해, 외측 전극 부재 (107) 는 예를 들어, 대략 15 인치에서 대략 17 인치와 같이, 대략 12 인치에서 대략 19 인치로 상부 전극 (103) 의 직경을 확장하도록 구성된다. 외측 전극 부재 (107) 는 연속 부재 (예를 들어, 링과 같은 폴리-실리콘 또는 탄화 규소 부재), 또는 분리 부재 (예를 들어, 단결정 실리콘의 세그먼트들과 같은, 링 구성으로 배열된 2 개 내지 6 개의 분리 세그먼트들) 일 수 있다. 상부 전극 (103) 이 다수-세그먼트의 외측 전극 부재 (107) 를 포함하는 실시형태에서, 세그먼트들은 밑에 있는 (underlying) 본딩 재료가 플라즈마에 노출되는 것을 방지하기 위해 서로 겹쳐 있는 에지 (edge) 를 갖는 것이 바람직하다. 내측 전극 부재 (105) 는 프로세스 가스를 상부 전극 (103) 아래의 플라즈마 반응 챔버의 공간으로 주입하는 다수의 가스 통로 (104) 를 포함하는 것이 바람직하다. 선택적으로, 외측 전극 부재 (107) 는 다수의 가스 통로 (미도시) 를 포함할 수 있다. 외측 전극 부재 (107) 는 가스 통로를 포함하지 않는 전극 (103) 주변부에 상승 단차를 형성하는 것이 바람직하다. 또한, 단차식 전극의 상세는, 공동 소유되고, 본 명세서에 참조로서 통합된, 미국 특허 제 6,824,627 호에서 찾을 수 있다.
단결정 실리콘은 내측 전극 부재 (105) 및 외측 전극 부재 (107) 의 플라즈마 노출된 표면에 대한 바람직한 재료이다. 고순도의 단결정 실리콘은 최소량의 바람직하지 않은 원소만을 반응 챔버에 도입하고, 또한 플라즈마 처리 동안 원활하게 마모되어서, 미립자를 최소화하기 때문에, 플라즈마 처리 동안 기판의 오염을 최소화한다.
샤워헤드 전극 조립체 (100) 는 300 ㎜ 의 직경을 갖는 반도체 웨이퍼와 같은 큰 기판을 처리하기 위한 사이즈로 형성될 수 있다. 300 ㎜ 웨이퍼용으로, 상부 전극 (103) 은 직경이 적어도 300 ㎜ 이다. 그러나, 샤워헤드 전극 조립체는 플랫 패널 디스플레이용 기판과 같은 비원형 구성을 갖는 기판 또는 다른 웨이퍼 사이즈를 처리하기 위한 사이즈로 형성될 수 있다.
백킹 부재 (102) 는 백킹 플레이트 (106) 및 선택적으로 백킹 링 (108) 을 포함한다. 이러한 구성에서, 내측 전극 부재 (105) 는 백킹 플레이트 (106) 와 동일한 공간을 차지하고, 외측 전극 부재 (107) 는 둘러싼 백킹 링 (108) 과 동일한 공간을 차지한다. 그러나, 백킹 플레이트 (106) 는 내측 전극 부재를 넘어서 연장될 수 있어 단일 백킹 플레이트가 내측 전극 부재 및 세그먼트화된 외측 전극 부재를 지지하거나 또는 단일체의 내측 전극 및 외측 전극을 지지하는데 사용될 수 있다. 내측 전극 부재 (105) 및 외측 전극 부재 (107) 는 엘라스토머 본딩 재료와 같은 본딩 재료에 의해 백킹 부재 (102) 에 부착시키는 것이 바람직하다. 백킹 플레이트 (106) 는 내측 전극 부재 (105) 내의 가스 통로 (104) 와 함께 정렬된 가스 통로 (113) 를 포함하여 플라즈마 처리 챔버로 가스 흐름을 제공한다. 외측 전극 부재 (107) 가 가스 통로를 포함하는 경우, 백킹 링 (108) 은 외측 전극 부재 (107) 내의 그러한 선택적 가스 통로와 정렬된 가스 통로 (미도시) 를 포함한다. 가스 통로 (113) 는 전형적으로 대략 0.04 인치의 직경을 가질 수 있고, 가스 통로 (104) 는 전형적으로 대략 0.025 인치의 직경을 가질 수 있다.
실시형태에서, 백킹 플레이트 (106) 및 백킹 링 (108) 은, 전형적으로 반도체 처리에 사용하기에 적합한 6061 또는 다른 합금과 같은 알루미늄 합금 재료인 알루미늄 재료로 형성된다. 백킹 플레이트 (106) 및 백킹 링 (108) 은 베어 알루미늄 (bare aluminum), 즉, 자연 산화막 (surface native oxide) 을 갖는 (그리고 비-아노다이징된 (non-anodized)) 알루미늄으로 형성될 수 있다.
상부 전극 (103) 은, 열적 스트레스를 수용하고, 상부 전극 (103) 과 백킹 플레이트 (106) 와 백킹 링 (108) 사이에서 열 및 전기적 에너지를 전송하는 열적 및 전기적 전도성 엘라스토머 본딩 재료로 백킹 플레이트 (106) 및 백킹 링 (108) 에 부착될 수 있다. 다른 방법으로는, 엘라스토머가 열적으로 전도성이 있을 수 있으나, 전기적으로 전도성이 있지는 않다. 전극 조립체의 표면과 함께 본딩하기 위한 엘라스토머의 사용은, 예를 들어, 공동 소유되고, 본 명세서에 그 전체가 참조로서 통합된, 미국 특허 제 6,073,577 호에 기재되어 있다.
백킹 플레이트 (106) 및 백킹 링 (108) 은 나사산 볼트, 스크류 등이 될 수 있는 적합한 패스너 (fastener) 로 열 제어 플레이트 (101) 에 부착되는 것이 바람직하다. 예를 들어, 볼트 (미도시) 는 열 제어 플레이트 (101) 의 홀에 삽입되어 백킹 부재 (102) 의 나사산 개구로 나사 고정될 수 있다. 열 제어 플레이트 (101) 는 능동적으로 제어된 히터와 열 전달 관계에 있다. 예를 들어, 공동 소유되고, 본 명세서에 그 전체가 참조로서 통합된, 미국 공개 출원 제 2005/0133160A1 호에서 상세한 설명과 도 1 및 도 2 를 참조한다. 열 제어 플레이트 (101) 의 홀은 열적 스트레스를 수용하는 열 팽창의 차이로 인한 이동을 허용하도록 오버사이즈될 수 있다. 열 제어 플레이트 (101) 는 만곡부 (109) 를 포함하고 알루미늄, 알루미늄 합금 6061 과 같은 알루미늄 합금 또는 반도체 처리에 사용하기에 적합한 다른 합금과 같은, 기계가공된 금속 재료로 형성하는 것이 바람직하다. 열 제어 플레이트 (101) 는 베어 알루미늄, 즉, 자연 산화막을 갖는 (그리고 비-아노다이징된 (non-anodized)) 알루미늄으로 형성될 수 있다. 상부 플레이트 (111) 는 알루미늄 또는 알루미늄 합금 6061 과 같은 알루미늄 합금으로 형성하는 것이 바람직하다. 플라즈마 한정 조립체 (110) 는 샤워헤드 전극 조립체 (100) 의 외측에 도시된다. 수직으로 조정가능한 플라즈마 한정 링 조립체를 포함하는 적합한 플라즈마 한정 조립체는, 공동 소유되고, 본 명세서에 그 전체가 참조로서 통합된, 미국 특허 제 6,433,484 호에 기재되어 있다.
열 제어 플레이트 (101) 는 상부 전극 (103) 의 온도를 제어하기 위해 온도-제어된 상부 플레이트 (111) 와 협력하도록 실시가능한 적어도 하나의 히터를 포함하는 것이 바람직하다. 예를 들어, 바람직한 일 실시형태에서, 히터는 열 제어 플레이트 (101) 의 상면에 설치되고, 제 1 돌출부 (61) 에 의해 둘러싸인 제 1 히터 영역, 제 1 돌출부 (61) 와 제 2 돌출부 (63) 사이의 제 2 히터 영역, 및 제 2 돌출부 (63) 와 만곡부 (109) 사이의 제 3 히터 영역을 포함한다. 히터 영역의 수는 변화될 수 있고; 예를 들어, 다른 실시형태에서 히터는 단일 히터 영역, 2 개의 히터 영역, 또는 3 개보다 많은 히터 영역을 포함할 수 있다. 다른 방법으로는, 히터는 열 제어 플레이트의 저면에 설치될 수 있다.
히터는 히터에 의해 도달된 동작 온도에 견딜 수 있는 폴리머 재료의 대향된 층 사이에 배치된 저항성 가열 재료를 포함하는 적층체를 포함하는 것이 바람직하다. 사용될 수 있는 예시적인 폴리머 재료는 상표 Kapton® 하에서 판매되는 폴리이미드이고, 그것은 E.I. du Pont de Nemours and Company 로부터 상업적으로 입수가능하다. 다른 방법으로는, 히터는 열 제어 플레이트에 내장된 저항성 히터 (예를 들어, 캐스트 (cast) 열 제어 플레이트의 발열체 또는 열 제어 플레이트에 형성된 채널에 위치된 발열체) 일 수 있다. 히터의 다른 실시형태는 열 제어 플레이트의 상면 및/또는 하면에 장착된 저항성 발열체를 포함한다. 열 제어 플레이트의 발열은 전도 및/또는 방열을 통해 달성될 수 있다.
히터 재료는 제 1 히터 영역, 제 2 히터 영역, 및 제 3 히터 영역을 열적으로 균일하게 가열하기 위해 제공된 임의의 적합한 패턴을 가질 수 있다. 예를 들어, 적층체 히터는 지그재그, 사행, 또는 동심 패턴과 같은 저항성 가열 라인의 규칙 또는 불규칙 패턴을 가질 수 있다. 히터로 열 제어 플레이트를 가열함으로써, 온도 제어된 상부 플레이트의 동작과 협력하여, 샤워헤드 전극 조립체의 동작 동안에 상부 전극에 걸쳐 바람직한 온도 분배가 제공될 수 있다.
제 1 히터 영역, 제 2 히터 영역, 및 제 3 히터 영역에 위치된 히터 섹션은, 예를 들어, 열과 압력의 인가, 접착제, 패스너 등 임의의 적합한 기술에 의해 열 제어 플레이트에 고정될 수 있다.
상부 전극은 전기적으로 접지될 수 있거나, 대안적으로, 바람직하게 무선 주파수 (RF) 전류 공급원 (170) 에 의해 전력이 공급될 수 있다. 상부 전극에 전력을 공급하는 RF 전류 공급원 (170) 의 출력 전력은 50 ㎒ 내지 80 ㎒ 의 범위의 주파수, 바람직하게는 60 ㎒ 의 주파수, 또는 유사한 주파수를 가질 수 있다. 이러한 대안적인 실시형태에서, 하부 전극은 접지 전위에 연결되고 상부 전극은 RF 공급원 (170) 에 연결될 수 있다. RF 공급원 (170) 은 대략 100 볼트와 대략 2,000 볼트 사이의 전압을 가질 수 있다. 바람직한 실시형태에서, 상부 전극은 접지되고, 하나 이상의 주파수에서의 전력은 플라즈마 처리 챔버 내에서 플라즈마를 발생시키기 위해 하부 전극에 인가된다. 하부 전극에 전력을 공급하는 RF 공급원 (170) 은 대략 400 ㎑ 와 대략 60 ㎒ 사이의 주파수를 가질 수 있다. 예를 들어, 하부 전극은 2 개의 독립적으로 제어되는 무선 주파수 전력 공급원에 의해 2 ㎒ 와 27 ㎒ 의 주파수에서 전력이 공급될 수 있다.
기판이 처리된 후에 (예를 들어, 반도체 기판이 플라즈마 에칭된 후에), 하부 전극으로의 전력의 인가가 차단되어 플라즈마 발생이 종료된다. 처리된 기판은 플라즈마 처리 챔버로부터 제거되어, 다른 기판이 플라즈마 처리를 위해 기판 지지체에 위치된다. 바람직한 실시형태에서, 히터는 하부 전극으로의 전력이 차단되었을 때, 열 제어 플레이트 (101) 를 가열하기 위해 활성화되고, 차례로, 상부 전극 (103) 을 가열하기 위해 활성화된다. 그 결과, 상부 전극 (103) 온도는 원하는 최소 온도 이하로 감소하는 것이 바람직하게 방지된다. 유전체 재료를 에칭하기 위해, 상부 전극 온도는 연속되는 기판 처리 실행 사이에서 150 ℃ 내지 250 ℃ 와 같은 대략 일정한 온도에서 바람직하게 유지되어 기판이 더욱 균일하게 처리됨으로써, 프로세스 수율을 향상시킬 수 있다. 바람직하게는, 전력 공급은 상부 전극의 실제 온도 및 원하는 온도에 기초하여 원하는 레벨 및 레이트에서 히터에 전력을 공급하도록 제어가능하다.
예시적인 실시형태에서, 상부 전극 (103) 은 적어도 100 ℃, 적어도 대략 150 ℃, 또는 적어도 180 ℃의 온도에서 샤워헤드 전극의 적어도 일부를 가열 및 유지할 정도의, 적어도 대략 80 ℃ 의 온도로 가열될 수 있다. 상부 전극 (103) 은 반도체 기판의 에칭 전에 가열될 수 있다. 에칭은 반도체 기판의 산화물층 내에 개구를 에칭하는 것을 포함할 수 있고, 여기서 개구는 패터닝된 포토레지스트에 의해 정의된다.
또한, 플라즈마 챔버는 예를 들어, 온도 제어기; 온도 제어기에 열적으로 응답하여 열 제어 플레이트를 가열하는 히터에 전력을 공급하도록 구성된 전원 공급 장치; 온도 제어기에 응답하여 챔버의 온도 제어된 상벽에 유체를 공급하도록 구성된 유체 제어기; 및 샤워헤드 전극의 하나 이상의 부분의 온도를 측정하고 온도 제어기에 정보를 공급하도록 구성된 온도 센서 장치를 포함할 수 있다.
또한, 샤워헤드 전극 조립체의 예시된 실시형태는 플라즈마 챔버 내에 프로세스 가스를 분포시키는데 사용되는 알루미늄 배플 (baffle) 링 장치 (120) 를 포함한다. 도 1 의 알루미늄 배플 링 장치 (120) 는 6061 알루미늄과 같은 알루미늄 합금 또는 알루미늄으로 형성된 6 개의 링을 포함하고, 그것은 대략 96% Al 내지 대략 98% Al, 대략 0.8% Mg 내지 대략 1.2% Mg, 대략 0.4% Si 내지 대략 0.8% Si, 대략 0.15% Cu 내지 0.4% Cu, 대략 0.04% Cr 내지 0.35% Cr 의 중량으로, 그리고 선택적으로 Fe, Mn, Zn 및/또는 Ti 를 포함한다. 배플 링 (120) 은 아노다이징된 외측 표면을 가질 수 있다. 6 개의 동심 L-형상의 링은 백킹 부재 (102) 위와 열 제어 플레이트 (101) 아래의 플리넘 (plenum) 내에 위치된다. 예를 들어, 중심 플리넘은 단일 링을 포함할 수 있고, 인접한 플리넘은 1 인치 갭에 대해 1/2 만큼 분리된 2 개의 링을 포함할 수 있고, 다음의 인접한 플리넘은 1 인치 갭에 대해 1/2 만큼 분리된 2 개의 링을 포함할 수 있고 외측 플리넘은 단일 링을 포함할 수 있다. 링들은 스크류로 열 제어 플레이트 (101) 에 장착된다. 예를 들어, 각각의 링은 홀을 통해 스크류를 수용하는, 원주 방향으로 이격된 스탠드-오프 (stand-off) 또는 보스를 포함할 수 있고, 예를 들어, 떨어져 배치된 3 개의 보스가 사용될 수 있다. 각각의 링은 대략 0.040 인치 두께의 수평 섹션 및 대략 1/4 인치 길이의 수직 플랜지를 가질 수 있다.
알루미늄 백킹 플레이트 (106) 의 상면 (134) 및 열 제어 플레이트 (101) 의 환상 돌출부 (136) 가 샤워헤드 전극 조립체 (100) 의 동작 동안 접촉 영역 (132) 과 접촉할 때, 그들 사이에 위치된 접촉 영역을 따라 백킹 플레이트 (106) 및 백킹 링 (108) 을 포함하여 열 제어 플레이트 (101) 와 알루미늄 백킹 부재 (102) 사이에서 마멸이 발생할 수 있다. 마멸의 상세는, 공동 소유의 동시 계속 출원이고, 그 전체 내용이 본 명세서에 참조로서 통합된, 미국 특허 출원 제 11/896,375 호에 기재되어 있다. 열 제어 플레이트 (101) 에서, 접촉 영역 (132) 은 백킹 플레이트 (102) 의 표면적의 대략 1% 내지 대략 30% 를 커버할 수 있다.
이러한 마멸은 열 제어 플레이트 (101) 및 알루미늄 백킹 부재 (102) 양쪽에서 발생할 수 있고, 온도 사이클링 결과로서 열 제어 플레이트 (101) 와 알루미늄 백킹 부재 (102) 의 대향면 사이에서 발생하는 상대적 운동 및 마찰에 의해 야기된다. 이러한 마멸은 다수의 이유로 매우 바람직하지 않다. 먼저, 마멸은 열 전달의 감소 및 그에 의해 예를 들어, 예시된 내측 전극 부재 (105) 를 포함하는 상부 전극 (103) 의 국부적 온도 비균일성을 포함하는 온도의 시프트를 야기시킬 수 있다. 이러한 온도 시프트는 플라즈마 처리 챔버 내의 반도체 기판의 처리 동안 프로세스 시프트를 야기시킬 수 있다.
또한, 열 제어 플레이트 (101) 및 알루미늄 백킹 부재 (102) 의 마멸은 미립자 발생을 야기시킬 수 있고, 또는 열 제어 플레이트 (101) 및 알루미늄 백킹 부재 (102) 의 융합을 야기시킬 수 있어서, 그 후에 이들 컴포넌트를 분리시키기 위해 과도한 힘이 요구되어, 이들 컴포넌트에 손상을 야기시킬 수 있다.
또한, 열 제어 플레이트 (101) 및 알루미늄 백킹 부재 (102) 의 마멸은 상부 전극 (103) 의 세정의 어려움을 증가시킬 수 있다.
추가로, 열 제어 플레이트 (101) 및 알루미늄 백킹 부재 (102) 의 마멸은 이들 컴포넌트의 표면적 외관을 떨어뜨리고 그들 수명을 감소시킨다.
도 2 는 열 제어 플레이트 (101) 와 알루미늄 백킹 플레이트 (106) 및 백킹 링 (108) 의 마멸의 발생을 감소시키고 그 결과 이러한 마멸과 연관된 문제점을 또한 감소시키는 변형을 포함하는 샤워헤드 전극 조립체의 일 예시적인 실시형태를 예시한다. 특히, 도 2 에 도시된 바와 같이, 열적 및 전기적 전도성 개스킷부 (145) 및 미립자 완화 밀봉부 (147a 및 147b) 를 포함하는 인터페이스 부재 (151) 는, 열 제어 플레이트의 환상 돌출부 (136) 의 저면과 알루미늄 백킹 플레이트 (102) 의 상면 (134) 사이에 위치된다.
도 3 은 인터페이스 부재 (151) 의 일 실시형태의 일부의 단면도를 도시한다. 도시한 바와 같이, 인터페이스 부재 (151) 는 미립자 완화 밀봉부 (147a) 에 의해 주변부 (149) 와 접경하는 열적 및 전기적 전도성 개스킷부(145) 를 포함한다. 이러한 실시형태에서, 개스킷부 (145) 는 상부와 하부 (141a 와 141b) 사이에 끼워진 중앙부 (143) 와 같은 동축 환상 링의 적층체를 포함하는 것이 바람직하다. 예를 들어, 중앙부 (143) 가 알루미늄 조각일 수 있고, 상부 및 하부 (141a/141b) 는 탄소 함유 실리콘 조각일 수 있다. 다르게는, 개스킷부 (145) 는 질화 붕소 (Chomerics 에 의해 제조된 CHO-THERM 1671 등) 로 채워진 실리콘, 그래파이트 (Graftech 에 의해 제조된 eGraf 705 등), 인듐 포일 (foil), 샌드위치 (Bergquist 에 의해 제조된 Q-pad Ⅱ 등), 또는 상변화 재료 (phase change material; PCM) (Thermagon 에 의해 제조된 T-pcm HP105 등) 과 같은 열 필러 재료이다.
열적 및 전기적 전도성 개스킷부 (145) 는, 예를 들어, 전도성 실리콘-알루미늄 포일 샌드위치 개스킷 구조, 또는 엘라스토머-스테인리스 스틸 샌드위치 개스킷 구조일 수 있다. 바람직한 일 실시형태에서, 개스킷부 (145) 는 미네소타, 챈하센에 위치하는 Bergquist Company 로부터 입수가능한 Bergquist Q-Pad Ⅱ 복합 재료이다. 이들 재료는 열적/전기적 전도성 고무로 양면에 코팅된 알루미늄을 포함한다. 이들 재료는 진공 환경에서 양립할 수 있다. 열 제어 플레이트 및 알루미늄 백킹 부재, 예를 들어, 백킹 플레이트의 접촉면 각각은 처리, 예를 들어, 기계가공에 의해 야기된 어느 정도의 거칠기를 갖는다. 또한, 개스킷 재료는 접촉면의 표면 거칠기를 보상하고 접촉면 사이의 열적 접촉을 개선시키기 위해 접촉면의 영역 (예를 들어, 마이크로보이드) 을 효과적으로 채우도록 충분히 유연한 것이 바람직하다. 가장 바람직하게는, 개스킷부는 Lambda Gel COH-4000 (Geltec 으로부터 입수가능) 이다.
개스킷 재료로부터 그래파이트 발생을 최소화하기 위해, 개스킷은 와이핑 등에 의해, 이온이 제거된 물을 사용하여 세정될 수 있다. 다른 방법으로는, 개스킷 재료는 불화 엘라스토머 재료와 같은 적합한 코팅 재료로 코팅될 수 있다.
미립자 완화 밀봉부 (147a/147b) 는 진공 환경에서 라디칼로부터 침식을 방지하는 엘라스토머 또는 폴리머일 수 있다. 바람직하게는, 밀봉부 (147a/147b) 는 진공 환경에서 플라즈마에 의해 생성된 라디칼로부터 침식을 방지하고 200 ℃ 초과와 같은 고온에서 분해를 방지하는 인시츄 경화 엘라스토머 또는 폴리머이다. 160 ℃ 초과의 플라즈마 환경에서 사용될 수 있는 폴리머 재료는 폴리이미드, 폴리케톤, 폴리에테르케톤, 폴리에테르 술폰, 폴리에틸렌 테레프탈염산, 플루오로에틸렌 프로필렌 코폴리머, 셀룰로오스, 트리아세테이트, 실리콘, 및 고무를 포함한다.
더욱 바람직하게는, 밀봉부 (147a/147b) 는 부착력, 탄성률, 침식률, 온도 저항 등과 같은 적절한 전-경화 및 후-경화 특성을 나타내는 인시츄 실온 경화형 (RTV) 비충전 실리콘이다. 예를 들어, 인시츄 경화가능 실리콘은 백금, 과산화물 또는 열을 이용한 투-파트 (two-part) 또는 원-파트 (one-part) 경화 레진이다. 바람직하게는, 실리콘 엘라스토머 재료는 메틸군 (실록산) 을 갖는 Si-O 백본을 갖는다. 그러나, 탄소 또는 불화 탄소 백본이 또한 사용될 수 있다. 가장 바람직하게는, 실리콘 재료는 비충전, 교차결합 실리콘 고무를 형성하는 챔버의 진공 환경으로부터 열적 및 전기적 전도성 개스킷부 (145) 를 절연하기 위해 인시츄 경화한다. 특히 바람직한 엘라스토머는 Rhodia as Rhodorsil V217 로부터 입수가능한 촉매 경화, 예를 들어, Pt 경화의 엘라스토머, 250 ℃ 이상의 온도에서 안정된 엘라스토머와 같은 폴리디메틸실록산 함유 엘라스토머이다.
열적 및 전기적 전도성 개스킷부 (145) 는 전기적으로 전도성 및 열적으로 전도성인 재료로 형성되어 열 제어 플레이트 (101) 와 알루미늄 백킹 플레이트 (106) 사이의 전기적 및 열적 전도성을 제공한다. 개스킷부 (145) 는 전기적-전도성 열적 인터페이스를 제공한다. 또한, 개스킷부 (145) 는 내측 전극 부재 (105) 를 포함하는 상부 전극 (103) 과 열 제어 플레이트 (101) 사이의 열 전달을 개선시킨다. 미립자 완화 밀봉부 (147a/147b) 는 열적 및 전기적 전도성 개스킷부 (145) 의 주변부 (149) 에 딥 코팅, 몰딩, 스프레이 코팅 등이 행해질 수 있다.
바람직하게는, 밀봉부 (147a/147b) 는 개스킷부 (145) 의 주변부 (149) 에 스프레이 코팅된다. 스프레이 코팅은 다양한 단면 형상 (프로파일) 을 갖는 완화 밀봉부 (147a/147b) 를 결과로서 생성할 수 있고, 예를 들어, 도 3 은 둥근 사각형 단면을 갖는 완화 밀봉부 (147a/147b) 를 도시한다. 원하면, 개스킷부 (145) 는 미립자 완화 밀봉부 (147a 및 147b) 각각이 개스킷부 (145) 에 본딩된 내측 개구 (aperture) 및 외측 둘레를 갖는 환상 링의 형상으로 될 수 있다. 도 4 는 외측 둘레 (149) 에 본딩된 밀봉부 (147a) 및 내측 개구 (155) 에 본딩된 밀봉부 (147b) 를 갖는 환상 링으로서 형성된 개스킷부 (145) 를 포함하는 인터페이스 부재 (151) 의 일 실시형태의 평면도를 도시한다. 또한, 볼트 홀 (157) 은 도 4 에 도시되고, 그것은 볼트 (미도시) 가 열 제어 플레이트 (101) 의 홀에 삽입되게 하고 열 제어 플레이트의 환상 돌출부 (136) 의 저면과 알루미늄 백킹 플레이트 (102) 의 상면 (134) 사이에 위치된 인터페이스 부재 (151) 와 함께 백킹 플레이트 (106) 및 백킹 링 (108) 의 나사산 개구로 나사 고정되게 한다.
또한, 바람직하게는, 도 5a 에 도시한 바와 같이, 밀봉부 (147a/147b) 는 개스킷부 (145) 의 외측 둘레 및 내측 개구가 겹쳐지는 사이즈로 형성된 환상 링의 형상으로 비경화 엘라스토머 시트 (147c/147d/147e/147f) 의 형태로 될 수 있다. 비경화 엘라스토머 시트 (147c/147d/147e/147f) 는 개스킷부 (145) 에 위치될 수 있고 (도 5b) 인터페이스 부재 (151) 를 제공하기 위해 경화될 수 있다 (도 5c).
도 2 에 도시된 바와 같이, 미립자 완화 밀봉부 (147a/147b) 는 각각의 환상 개스킷부 (145) 의 외측 및 내측 주변부 (149) 에 배치된 O-링과 같은 형상으로 형성될 수 있다. 더욱 일반적으로는, (도 2 및 도 4 를 참조하여) 인터페이스 부재 (151) 의 미립자 완화 밀봉부 (147a/147b) 는 경화면을 가질 수 있고 각각의 열적 및 전기적 전도성 개스킷부 (145) 의 외측 둘레 (153) 및 내측 개구 (155) 로부터 돌출될 수 있다. 복수의 환상 돌출부 (136) 및 환상 열적 및 전기적 전도성 개스킷부 (145) 는 복수의 밀봉부 (147a/147b), 예를 들어, 4 개 내지 20 개의 밀봉부 (147a/147b) 를 결과로서 생성한다. 복수의 환상 개스킷부 (145) 는 상당히 정밀한 배치를 요구한다. 인터페이스 부재 (151) 가 개스키부 (145) 의 주변부 (149) 에 본딩된 미립자 완화 밀봉부 (147a/147b) 를 제공하기 때문에, 인터페이스 부재 (151) 의 설치는 미립자 완화 밀봉부 (147a/147b) 에 의해 진공 챔버로부터 밀봉된 열적 및 전기적 전도성 개스킷부 (145) 의 정밀한 설치를 간이화한다. 특히, 접촉 영역 (132) 에서 환상 개스킷부 (145) 의 접촉표면이 작을 때 설치 동안에 위치의 변동을 허용할 수 있다.
도 2 에 또한 도시된 바와 같이, 개스킷부 (145) 와 대략 동일한 두께를 갖는 쐐기 (146) 는 알루미늄 배플 링 (120) 과 열 제어 플레이트 (101) 의 저면 (142) 사이에 위치된다. 쐐기 (146) 는 유전체 재료일 수 있다.
열 제어 플레이트 (101) 는 백킹 플레이트 (106) 의 이면에 플리넘이 확립된 수개의 환상 돌출부 (136), 예를 들어, 2 개 내지 10 개, 바람직하게는 4 개 내지 8 개의 돌출부를 포함한다. 인터페이스 부재 (151) 는 각각의 환상 돌출부의 접촉면 위에 배치된다.
도 6 은 열 제어 플레이트의 환상 돌출부 (136) 의 저면과 알루미늄 백킹 플레이트 (102) 의 상면 (134) 사이에 위치된 인터페이스 부재 (151') 의 다른 실시형태를 도시한다. 도시된 바와 같이, 인터페이스 부재 (151') 의 이러한 실시형태는 미립자 완화부 (147a'/147b') 에 의해 각각의 주변부와 접경하는 열적 및 전기적 전도성 개스킷부 (145') 를 갖는다. 예를 들어, 도 6 에 도시된 인터페이스 부재 (151') 는 제 1 동일 평면상의 미립자 완화 밀봉부 (147a') 에 의해 외측 둘레와 접경하고, 제 2 동일 평면상의 미립자 완화 밀봉부 (147b') 에 의해 내측 개구와 접경하는 환상 열적 및 전기적 전도성 개스킷부 (145') 를 갖는다. 인터페이스 부재 (151') 를 포함하는 샤워헤드 전극 조립체의 이러한 일 실시형태는, 각 열적 및 전기적 전도성 개스킷부에 대하여, 복수의 분리된 열적 및 전기적 전도성 개스킷부 및 O-링과 같은 분리된 외측 및 내측 밀봉부를 설치하는 경우, 요구되는 것보다 적은 수의 부품을 갖는다. 인터페이스 부재 (151') 는 설치하기 쉽고 접촉 영역 (132) 을 완전히 커버한다. 복수의 패스너 (3 개 내지 15 개의 볼트 등) 는 환상 개스킷부 (145/145') 각각의 개구 (157) (도 4) 를 관통하여 백킹 플레이트 (106) 에 열 제어 플레이트 (101) 를 고정한다. 또한, 인터페이스 부재 (151') 를 사용함으로써, 배플 (120) 및 쐐기 (146) 를 원하면 생략할 수 있다.
접촉 영역 (132) 을 통해 열 전달을 개선시킴으로써, 내측 전극 부재 (105) 를 포함하는 상부 전극 (103) 과 열 제어 플레이트 (101) 사이의 온도차를 감소시키는 것이 가능하여, "제 1 웨이퍼 효과" 가 또한 연속된 웨이퍼의 연속적인 처리 동안 감소될 수 있다. 즉, "제 1 웨이퍼 효과" 는 제 1 처리된 웨이퍼의 발열에 의해 간접적으로 일어난 후속 웨이퍼의 2 차 발열을 칭한다. 상세하게는, 제 1 웨이퍼의 처리의 완료시, 가열된 처리후 웨이퍼 및 프로세스 챔버 내벽은 상부 전극을 향하여 열을 방출한다. 그 다음에, 상부 전극은 챔버 내에서 처리되는 후속 웨이퍼에 대한 2 차 발열 기구를 간접적으로 제공한다. 그 결과, 시스템에 의해 처리된 제 1 웨이퍼는, 반도체 기판의 높은 애스펙트비 접촉 비어의 에칭 동안 웨이퍼 온도 변동이 임계 치수 (CD) 에 영향을 미칠 수 있기 때문에, 시스템에 의해 처리된 후속 웨이퍼보다 더 큰 원하는 CD 변동을 나타낼 수도 있다. 후속으로 처리된 웨이퍼는 챔버 내의 온도의 안정으로 인해 제 1 처리된 웨이퍼와 상이한 CD 변동을 가질 수도 있고 및/또는 제 1 처리된 웨이퍼보다 적은 CD 변동을 가질 수도 있다.
또한, 웨이퍼-전역에 걸친 온도 변동 및 웨이퍼 대 웨이퍼 (wafer-to-wafer) 온도 변동은 접촉 영역 (132) 을 통해 열 전달을 개선시킴으로써 바람직하게 감소될 수 있다. 또한, 상이한 처리 라인의 다수의 플라즈마 에칭 챔버가, 원하는 프로세스 또는 수율로 사용되는 챔버 대 챔버 온도 매칭은 접촉 영역 (132) 을 통해 열 전달을 개선시킴으로써, 바람직하게 달성될 수 있다.
전형적으로, 웨이퍼-전역에 걸치거나, 웨이퍼 대 웨이퍼, 또는 챔버 대 챔버의 웨이퍼 온도에서 섭씨 1도의 변동은 3 σ (3 × 표준 편차) 에서 대략 0.5 ㎚ 내지 0.1 ㎚ 만큼 CD 변동 증가를 야기시킬 수 있다 (예를 들어, 0.4 ㎚/℃ 내지 0.2 ㎚/℃ 또는 0.35 ㎚/℃ 내지 0.25 ㎚/℃).
언급한 바와 같이, 제 1 웨이퍼가 처리된 후, 후속 처리된 웨이퍼의 온도가 안정화될 수 있어서, 후속 처리된 웨이퍼의 기준 포인트의 온도 변동은 반도체 기판의 높은 애스펙트비 접촉 비어의 에칭을 위해, 대략 10 ℃ 보다 적은 것이 바람직하고, 더욱 바람직하게는 대략 5 ℃ 보다 적어서, 예를 들어, CD 변동이 대략 5 ㎚ (0.5㎚/℃ × 10℃) 내에서 제어될 수 있고, 더욱 바람직하게는 대략 3 ㎚ (0.3㎚/℃ × 10℃) 내에서, 가장 바람직하게는 대략 0.5 ㎚ (0.1㎚/℃ × 5℃) 내에서 제어될 수 있다.
메모리 애플리케이션용으로, CD 변동은 3 σ 에서 4 ㎚ 보다 적은 것이 바람직하다. 인터페이스 부재 (151/151') 에 의해 제공된 접촉 영역 (132) 을 통한 개선된 열 전달에 의해, CD 변동은 1 ㎚ 이하의 웨이퍼 대 웨이퍼 또는 4 ㎚ 이하의 챔버 대 챔버가 바람직하다. 논리 애플리케이션용으로, CD 변동은 3 σ 에서 3 ㎚ 보다 적은 것이 바람직하다. 인터페이스 부재 (151/151') 에 의해 제공된 접촉 영역 (132) 을 통한 개선된 열 전달에 의해, CD 변동은 2 ㎚ 이하의 웨이퍼 대 웨이퍼 및 4 ㎚ 이하의 챔버 대 챔버가 바람직하다.
바람직하게는, 인터페이스 부재 (151/151') 는 10 ℃ 보다 적게 전극의 중앙부에서 전극의 에지로의 전극 시프트를 최소화시키고, 5 ℃ 이하로 방위각 온도 시프트를 최소화시킨다. 신규하거나 사용된 알루미늄 백킹 부재의 사용으로 인한 전극 온도 변동은 신규하거나 사용된 알루미늄 백킹 부재의 접촉면 조건과 관련된다. 인터페이스 부재 (151/151') 는 신규하거나 사용된 알루미늄 백킹 부재에 의해 야기된 전극 온도 시프트를 대략 5 ℃ 보다 작게 바람직하게 최소화시킬 수 있다. 또한, 부품들이 세정되기 위해 제거될 수도 있고 부품이 이러한 세정 후에 동일한 열적 성능을 보여주는 것이 바람직하다. 인터페이스 부재 (151/151') 는 알루미늄 백킹 부재의 세정 전후에 열적 성능 시프트를 전극 온도의 대략 5 ℃ 변화보다 작게 최소화하는 것이 바람직하다.
바람직하게는, 인터페이스 부재 (151/151') 는 또한 열 제어 플레이트 (101) 및 알루미늄 백킹 부재 (102) 의 융합 또는 마멸을 감소시키거나 방지할 수 있어서, 이들 컴포넌트들이 최소 힘으로 서로 분리되게 한다.
바람직하게는, 인터페이스 부재 (151/151') 는, 예를 들어, 대략 10 mTorr 내지 200 mTorr 의 고진공 환경에서 배기하지 않고; 낮은 미립자 발생 성능을 갖고; 접촉 영역에서 전단 (shear) 을 수용하기에 유연하고; Ag, Ni, Cu 등과 같은 반도체 기판의 수명을 단축시키는 금속 컴포넌트가 없으며; 알루미늄 백킹 부재 (102) 의 세정동안 미립자의 발생을 최소화할 수 있는 재료로 형성된다.
도 7 은 샤워헤드 전극 조립체의 다른 실시형태의 일부를 예시한다. 도 2 및 도 6 을 참조하여, 도 7 에 도시된 실시형태는 백킹 부재를 포함하지 않고, 열 제어 플레이트 (101) 는 내측 전극 부재 (105) 에 직접 고정된다.
상부 전극 (103) 의 상면 (160) 및 열 제어 플레이트 (101) 의 환상 돌출부 (136) 가 샤워헤드 전극 조립체 (100) 의 동작 중에 접촉 영역 (158) 과 접촉할 때, 내측 전극 부재 (105) 및 선택적 외측 전극 부재 (107) 를 포함하여 그들 사이에 위치된 접촉 영역을 따라 열 제어 플레이트 (101) 와 상부 전극 (103) 사이에 마멸이 발생할 수 있다.
이러한 마멸은 열 제어 플레이트 (101) 및 상부 전극 (103) 양쪽에서 발생할 수 있고, 온도 사이클링 결과로서 열 제어 플레이트 (101) 와 상부 전극 (103) 의 대향면 사이에서 발생하는 상대적 운동 및 마찰에 의해 야기된다. 이러한 마멸은 샤워헤드 전극 조립체 (100) 의 동작 중에 접촉 영역에 접촉하는 열 제어 플레이트 (101) 의 환상 돌출부 (136) 및 알루미늄 백킹 플레이트 (106) 의 상면 (134) 과 관련하여 상기 설명한 것과 마찬가지의 이유로 바람직하지 않다. 예를 들어, 마멸은 열 전달의 감소 및 그에 의해 예를 들어, 예시된 내측 전극 부재 (105) 를 포함하는 상부 전극 (103) 의 국부적 온도 비균일성을 포함하는 온도의 시프트를 야기시킬 수 있다. 이러한 온도 시프트는 플라즈마 처리 챔버 내의 반도체 기판의 플라즈마 에칭과 같은 처리 동안 프로세스 시프트를 야기시킬 수 있다.
또한, 열 제어 플레이트 (101) 및 상부 전극 (103) 의 마멸은 미립자 발생을 야기시킬 수 있고, 또는 열 제어 플레이트 (101) 및 상부 전극 (103) 의 융합을 야기시킬 수 있어서, 그 후에 이들 컴포넌트를 분리시키기 위해 과도한 힘이 요구되어, 이들 컴포넌트에 손상을 야기시킬 수 있다. 또한, 열 제어 플레이트 (101) 및 상부 전극 (103) 의 마멸은 상부 전극 (103) 의 세정의 어려움을 증가시킬 수 있다. 추가로, 열 제어 플레이트 (101) 및 상부 전극 (103) 의 마멸은 이들 컴포넌트의 표면적 외관을 떨어뜨리고 그들 수명을 감소시킨다.
또한, 도 7 에 도시된 샤워헤드 전극 조립체는 도 1 에 도시된 외측 전극 부재 (107) 와 같은, 선택적 외측 전극 부재를 포함할 수 있다. 외측 전극 부재는 복수의 세그먼트를 포함하는 링 구성을 가질 수 있다. 열 제어 플레이트 (101) 는 엘라스토머 본딩과 같은, 패스너 및/또는 접착 본딩 등에 의한, 적합한 방식으로 내측 전극 부재 (105) 및 선택적 외측 전극 부재 (107) 에 직접 고정될 수 있다. 도 7 에 도시된 바와 같이, 내측 전극 부재 (105) 의 상면 (160) 과 열 제어 플레이트 (101) 의 환상 돌출부 (136) 사이에 접촉 영역 (158) 이 존재한다. 실시형태에서, 열 제어 플레이트 (101) 의 외측 표면은, 베어 알루미늄 (아노다이징되지 않음) 인 접촉 영역 (158) 에서 상기 표면을 제외하고 아노다이징될 수 있다. 접촉 영역 (158) 은 내측 전극 부재 (105) 로부터의 열을 제거하는 열 경로 및 내측 전극 부재 (105) 를 관통하는 RF 전력용 RF 경로를 제공한다.
도 2 와 관련하여 상기 설명한 바와 같은 인터페이스 부재 (151) 는 내측 전극 부재 (105) 의 상면 (160) 과 열 제어 플레이트 (101) 의 환상 돌출부 (136) 사이에 제공된다. 상기 설명한 바와 같이, 열적 및 전기적 전도성 개스킷부 (145) 는 내측 전극 부재 (105) 및 내측 전극 부재 (105) 를 관통하는 RF 전력용 RF 경로로부터 열을 제거하기 위한 열 경로를 제공한다. 미립자 완화 밀봉부 (147a/147b) 는 개스킷부 (145) 에 본딩되고 알루미늄 배플 링 (120) 과 상면 (160) 사이의 오프셋 (139) 에 배치되어 기밀한 밀봉을 형성한다. 배플 링 (120) 의 수직벽의 상단은 쐐기 (146) 에 의해 열 제어 플레이트 (101) 의 저면 (142) 으로부터 분리된다. 쐐기 (146) 는 전형적으로 Kapton® 과 같은 유전체 재료로 형성된다.
도 8 은 열 제어 플레이트 (101) 와 내측 전극 부재 (105) 사이에서 그들 사이에 위치된 접촉 영역을 따라 마멸의 발생을 감소시키고, 그 결과 미립자 발생과 같은, 이러한 마멸과 연관된 문제점을 또한 감소시키는 샤워헤드 전극 조립체 내의 인터페이스 부재 (151') 의 일 실시형태를 예시한다. 예를 들어, 실리콘 전극 부재에 대해, 마멸은 실리콘 미립자 발생 및 알루미늄 미립자 발생을 야기시킬 수 있다. 특히, 도 8 에 도시된 바와 같이, 인터페이스 부재 (151') 는 열 제어 플레이트 (101) 의 환상 돌출부 (136) 의 저면과 내측 전극 부재 (105) 의 상면 (160) 사이에 위치된다. 인터페이스 부재 (151') 는 열 제어 플레이트 (101) 에 형성된 플리넘들 중 인접하는 것과 서로 분리된다.
인터페이스 부재 (151') 는 도 6 및 도 7 에 도시된 샤워헤드 전극 조립체의 실시형태에 관하여 상기 설명한 인터페이스 부재 (151/151') 와 동일한 재료로 형성될 수 있다. 개스킷부 (145') 재료는 열 제어 플레이트 (101) 와 내측 전극 부재 (105) (및 선택적 외측 전극 부재) 사이에 전기적 및 열적 전도성을 제공하기 위해 전기적 및 열적으로 전도성이 있고, 즉, 개스킷부 (145') 는 접촉 영역간에 전기적 전도성 열적 인터페이스를 제공한다.
또한, 도 8 에 도시된 바와 같이, 인터페이스 부재 (151') 와 대략 동일한 두께를 갖는 쐐기 (146) 는 알루미늄 배플 링 (120) 과 열 제어 플레이트 (101) 의 저면 (142) 사이에 위치된다. 쐐기 (146) 는 유전체 재료일 수 있다. 인터페이스 부재 (151') 는 알루미늄 배플 (120) 과 쐐기 (146) 가 원하면 생략되게 한다.
도 2 및 도 6 내지 도 8 에 도시된 샤워헤드 전극 조립체에 대한 변형은 부품의 수를 감소시키고, 설치를 간이화하고 접촉 영역 (132/158) 을 완전히 커버할 뿐만 아니라, 열 제어 경로 (101) 와 내측 전극 부재 (105) 사이에 그들 사이에 배치된 접촉 영역 (132/158) 을 따라 마멸의 발생을 감소시키며, 그 결과 미립자 발생과 같은, 이러한 마멸과 연관된 문제점을 또한 감소시킨다. 예를 들어, 실리콘 전극 부재에 대해, 마멸은 실리콘 미립자 발생 및 알루미늄 미립자 발생을 야기시킬 수 있다. 특히, 도 8 에 도시된 바와 같이, 인터페이스 부재 (151') 는 열 제어 플레이트 (101) 의 환상 돌출부 (136) 의 저면과 내측 전극 부재 (105) 의 상면 (160) 사이에 위치된다. 인터페이스 부재 (151') 는 열 제어 플레이트 (101) 에 형성된 플리넘들 중 인접하는 것과 서로 분리된다.
본 발명은 그 특정 실시형태들과 관련하여 상세히 설명되었지만, 첨부된 청구항의 범위로부터 벗어나지 않고 다양한 변경과 변형이 이루어질 수 있고 등가물이 채용될 수 있다는 것은 당업자에게 명백하다.

Claims (21)

  1. 진공 챔버 내부에 장착되고 무선 주파수 (RF) 에너지에 의해 전력이 공급되도록 구성된 샤워헤드 전극;
    상기 샤워헤드 전극에 부착된 백킹 플레이트 (backing plate);
    상기 백킹 플레이트에 걸친 다수의 접촉 영역들에서 복수의 패스너를 통해 상기 백킹 플레이트에 부착된 열 제어 플레이트; 및
    상기 접촉 영역들에서 상기 백킹 플레이트와 상기 열 제어 플레이트를 분리시키는 인터페이스 부재들을 포함하고,
    상기 인터페이스 부재들 각각은 미립자 완화 밀봉부에 의해 내측 주변 (periphery) 및 외측 주변이 접경되는 (bounded) 열적 및 전기적 전도성 개스킷부를 포함하고, 상기 밀봉부는 상기 개스킷부에 본딩된, 샤워헤드 전극 조립체.
  2. 제 1 항에 있어서,
    상기 접촉 영역들은 서로 이격된 환상 돌출부들을 상기 열 제어 플레이트의 저면 상에서 포함하고,
    상기 인터페이스 부재들은 상기 환상 돌출부들을 커버하는 크기로 된 복수의 환상 인터페이스 부재들을 포함하는, 샤워헤드 전극 조립체.
  3. 제 2 항에 있어서,
    외측 둘레에 위치된 상기 미립자 완화 밀봉부는 만곡진 표면을 갖고 상기 열적 및 전기적 전도성 개스킷부로부터 돌출되고,
    상기 내측 주변에 위치된 상기 미립자 완화 밀봉부는 만곡진 표면을 갖고 상기 열적 및 전기적 전도성 개스킷부로부터 돌출되는, 샤워헤드 전극 조립체.
  4. 제 1 항에 있어서,
    상기 열 제어 플레이트 및 백킹 플레이트는 비-아노다이징된 (non-anodized) 알루미늄이고,
    상기 인터페이스 부재의 상기 열적 및 전기적 전도성 개스킷부는 금속 및 폴리머 재료의 적층체 (laminate) 이고,
    상기 인터페이스 부재의 상기 미립자 완화 밀봉부는 내침식성 엘라스토머 또는 폴리머를 포함하는, 샤워헤드 전극 조립체.
  5. 제 1 항에 있어서,
    상기 샤워헤드 전극은 내측 전극 및 외측 전극을 포함하고,
    상기 내측 전극은 단결정 실리콘의 원형 플레이트이고 상기 외측 전극은 단결정 실리콘의 복수의 세그먼트들로 구성된 링 전극인, 샤워헤드 전극 조립체.
  6. 제 3 항에 있어서,
    상기 환상 돌출부들 사이의 플리넘들 (plenums) 내에 아노다이징된 알루미늄의 배플 링들 (baffle rings) 을 더 포함하고,
    상기 배플 링들 각각은 상기 환상 돌출부들 중 하나와 인접한 수직벽을 포함하고,
    수직벽들은 상기 접촉 영역들에 인접하는 상기 수직벽들의 하단들에 있는 오프셋들 (offsets) 을 포함하며,
    각 미립자 완화 밀봉부는 상기 접촉 영역들의 대향 측들 상에 밀봉부들을 형성하도록 상기 오프셋들 중 하나 내에 위치된, 샤워헤드 전극 조립체.
  7. 제 1 항에 있어서,
    상기 패스너들은 상기 백킹 부재들 내에 나사산이 형성된 볼트들을 포함하고,
    열적 및 전기적 전도성 개스킷부들은 그 내에 형성된 오버사이즈된 쓰루 홀들 (oversized through holes) 을 포함하고,
    상기 볼트들은 상기 쓰루 홀들을 통해서 상기 백킹 부재 내로 들어가는, 샤워헤드 전극 조립체.
  8. 제 6 항에 있어서,
    상기 배플 링들의 상기 수직벽들의 상단들은 상기 인터페이스 부재의 상기 열적 및 전기적 전도성 개스킷부와 동일한 두께를 갖는 쐐기들 (shims) 에 의해 상기 열 제어 플레이트의 저면과 분리된, 샤워헤드 전극 조립체.
  9. 제 1 항에 있어서,
    상기 열 제어 플레이트의 상면에 열 초크들 (thermal chokes) 을 더 포함하는, 샤워헤드 전극 조립체.
  10. 제 1 항에 있어서,
    상기 인터페이스 부재는 은, 니켈 및 구리를 포함하지 않고,
    상기 접촉 영역들은 상기 백킹 플레이트의 표면적의 1% 내지 30% 를 커버하는, 샤워헤드 전극 조립체.
  11. 제 1 항에 기재된 샤워헤드 전극 조립체를 포함하는 진공 챔버로서,
    상기 샤워헤드 전극 조립체의 온도를 제어하는 온도 제어기;
    상기 온도 제어기에 대해 열적 응답하여 상기 열 제어 플레이트를 가열하는 히터에 전력을 공급하도록 구성된 전원 공급 장치;
    상기 온도 제어기에 응답하여 상기 챔버의 온도 제어된 상벽에 유체를 공급하도록 구성된 유체 제어기; 및
    상기 샤워헤드 전극의 하나 이상의 부분의 온도를 측정하여 상기 온도 제어기에 정보를 공급하도록 구성된 온도 센서 장치를 포함하고,
    상기 진공 챔버의 상벽은 선택적으로 전기적 접지된, 진공 챔버.
  12. 제 1 항에 있어서,
    상기 샤워헤드 전극은, 실리콘 전극 플레이트의 일측에서 가스 유출구들을 갖고 상기 실리콘 전극 플레이트의 타측에서는 비-아노다이징된 (non-anodized) 알루미늄으로 된 상기 백킹 플레이트에 본딩된 엘라스토머를 갖는 상기 실리콘 전극 플레이트를 포함하는, 샤워헤드 전극 조립체.
  13. 플라즈마 에칭 챔버 내에서 플라즈마 에칭을 제어하는 방법으로서,
    제 1 항에 기재된 샤워헤드 전극 조립체를 통해 상기 플라즈마 에칭 챔버에 프로세스 가스를 공급하는 단계로서, 상기 프로세스 가스는 반도체 기판이 지지되는 하부 전극과 상기 샤워헤드 전극 사이의 갭 내로 흐르는, 상기 프로세스 가스를 공급하는 단계; 및
    상기 샤워헤드 전극에 RF 전력을 인가하고 상기 프로세스 가스를 플라즈마 상태로 에너자이징함으로써 상기 플라즈마 에칭 챔버 내에서 반도체 기판을 에칭하는 단계를 포함하고,
    상기 샤워헤드 전극의 온도는 상기 인터페이스 부재들의 상기 열적 및 전기적 전도성 개스킷부를 사용하여서 증가된 열적 전도성을 통해서 상기 열 제어 플레이트에 의해 제어되는, 플라즈마 에칭의 제어 방법.
  14. 제 13 항에 있어서,
    적어도 80 ℃ 의 온도로 상기 샤워헤드 전극을 가열하는 단계를 더 포함하는, 플라즈마 에칭의 제어 방법.
  15. 제 14 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는 적어도 100 ℃ 의 온도로 상기 샤워헤드 전극을 가열하고 유지하는 단계를 포함하는, 플라즈마 에칭의 제어 방법.
  16. 제 14 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는 적어도 180 ℃ 의 온도로 상기 샤워헤드 전극을 가열하고 유지하는 단계를 포함하는, 플라즈마 에칭의 제어 방법.
  17. 제 13 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는 상기 반도체 기판의 에칭 전에 발생하고,
    상기 에칭은 상기 반도체 기판 상의 산화물 층 내에 패터닝된 포토레지스터에 의해 정의된 개구들을 에칭하는 단계를 포함하고,
    상기 개구들은 패터닝된 포토레지스터에 의해 정의되는, 플라즈마 에칭의 제어 방법.
  18. 진공 챔버의 내부에 장착되도록 구성된 샤워헤드 전극;
    상기 샤워헤드 전극에 걸친 다수의 접촉 영역들에서 상기 샤워헤드 전극에 부착된 열 제어 플레이트로서, 플리넘들 (plenums) 이 상기 열 제어 플레이트와 상기 샤워헤드 전극 간에서 그리고 상기 접촉 영역들 간에 위치하는, 상기 열 제어 플레이트; 및
    상기 샤워헤드 전극과 상기 열 제어 플레이트 간에서 그리고 상기 접촉 영역들에 형성된 인터페이스 부재들을 포함하며,
    상기 인터페이스 부재들 각각은 미립자 완화 밀봉부에 의해 내측 주변 (periphery) 및 외측 주변이 접경되는 (bounded) 열적 및 전기적 전도성 개스킷부를 포함하고, 상기 밀봉부는 상기 개스킷부에 본딩된, 샤워헤드 전극 조립체.
  19. 제 18 항에 있어서,
    상기 접촉 영역들은 상기 열 제어 플레이트의 하부 표면 상에 제공된 이격된 환상 돌출부들의 표면들을 포함하고;
    상기 인터페이스 부재들은 상기 환상 돌출부들 각각과 상기 샤워헤드 전극의 상부 표면 사이에 위치된 환상 인터페이스 부재를 포함하고;
    상기 인터페이스 부재들의 상기 열적 및 전기적 전도성 개스킷부는 은, 니켈 및 구리가 없는 금속 재료 및 폴리머 재료의 적층체 (laminate) 로 구성되며;
    상기 인터페이스 부재들의 상기 미립자 완화 밀봉부 및 전기적 전도성 개스킷부는 실리콘으로 구성된, 샤워헤드 전극 조립체.
  20. 제 19 항에 있어서,
    상기 샤워헤드 전극은 내측 전극 및 외측 전극을 포함하고, 상기 내측 전극은 단결정 실리콘의 원형 플레이트이고 상기 외측 전극은 단결정 실리콘의 복수의 세그먼트들로 구성된 링 전극이고;
    상기 인터페이스 부재의 상기 미립자 완화 밀봉부는 만곡진 표면을 갖고 상기 열적 및 전기적 전도성 개스킷부 각각의 외측 둘레 및 내측 개구로부터 돌출되며;
    상기 샤워헤드 전극 조립체는,
    상기 환상 돌출부들 사이의 플리넘들 내의 아노다이징된 알루미늄의 배플 링들로서, 상기 배플 링들 각각은 상기 환상 돌출부들 중 하나와 인접한 수직벽을 포함하고, 수직벽들은 상기 접촉 영역들에 인접하는 상기 수직벽들의 하단들에 형성된 오프셋들을 포함하며,
    상기 접촉 영역들의 대향하는 측들 상에 밀봉부들을 형성하도록 상기 오프셋들 내에 위치된 상기 미립자 완화 밀봉부들을 더 포함하고,
    상기 배플 링들의 수직벽들의 상단들은 상기 인터페이스 부재들의 상기 열적 및 전기적 전도성 개스킷부와 동일한 두께를 갖는 쐐기들에 의해 상기 열 제어 플레이트의 하면으로부터 분리된, 샤워헤드 전극 조립체.
  21. 제 19 항에 있어서,
    상기 열 제어 플레이트는 비-아노다이징된 (non-anodized) 알루미늄으로 된 상기 접촉 영역들을 제외하고 아노다이징된 외측 표면을 갖는, 샤워헤드 전극 조립체.
KR1020107027653A 2008-06-09 2009-05-22 플라즈마 처리 장치용 샤워헤드 전극 조립체 KR101546992B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/155,739 US8679288B2 (en) 2008-06-09 2008-06-09 Showerhead electrode assemblies for plasma processing apparatuses
US12/155,739 2008-06-09

Publications (2)

Publication Number Publication Date
KR20110016442A KR20110016442A (ko) 2011-02-17
KR101546992B1 true KR101546992B1 (ko) 2015-08-25

Family

ID=41400708

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107027653A KR101546992B1 (ko) 2008-06-09 2009-05-22 플라즈마 처리 장치용 샤워헤드 전극 조립체

Country Status (7)

Country Link
US (2) US8679288B2 (ko)
EP (1) EP2301067B1 (ko)
JP (1) JP5560267B2 (ko)
KR (1) KR101546992B1 (ko)
CN (1) CN102057471B (ko)
TW (1) TWI531682B (ko)
WO (1) WO2009151538A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190045714A (ko) * 2017-10-24 2019-05-03 세메스 주식회사 기판 처리 장치 및 방법

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
KR101189905B1 (ko) * 2010-07-13 2012-10-10 플란제 에스이 전극용 플라즈마 실드
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US20120073752A1 (en) * 2010-09-24 2012-03-29 Memc Electronic Materials, Inc. Adapter Ring For Silicon Electrode
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
WO2013078097A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Dual zone temperature control of upper electrodes
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
US9982340B2 (en) 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
JP2013254901A (ja) * 2012-06-08 2013-12-19 Toshiba Corp シール材およびエッチング装置
CN103903946B (zh) * 2012-12-26 2017-11-17 中微半导体设备(上海)有限公司 一种用于等离子反应器的气体喷淋头
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10373810B2 (en) 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
JP6278498B1 (ja) * 2017-05-19 2018-02-14 日本新工芯技株式会社 リング状部材の製造方法及びリング状部材
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US20180366354A1 (en) * 2017-06-19 2018-12-20 Applied Materials, Inc. In-situ semiconductor processing chamber temperature apparatus
TWI788390B (zh) * 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
CN109616813B (zh) * 2017-09-29 2020-05-22 中微半导体设备(上海)股份有限公司 一种侧装结构及其安装方法及接地环侧装的刻蚀装置
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
CN112166490A (zh) * 2018-06-07 2021-01-01 东京毅力科创株式会社 基板处理装置及喷淋头
CN108760828B (zh) * 2018-08-15 2023-07-28 河北科瑞达仪器科技股份有限公司 一种用于液体电导率测量的装置
CN110942969B (zh) * 2018-09-21 2022-08-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头组件及其等离子体处理设备
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
US11860059B2 (en) 2019-05-31 2024-01-02 Greene, Tweed Technologies, Inc. Smart seals for monitoring and analysis of seal properties useful in semiconductor valves
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
JP7296829B2 (ja) * 2019-09-05 2023-06-23 東京エレクトロン株式会社 プラズマ処理装置、処理方法、上部電極構造
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
US20210238745A1 (en) * 2020-02-03 2021-08-05 Applied Materials, Inc. Showerhead assembly
CN114188206B (zh) * 2020-09-15 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其上电极组件的调节方法
US20220223384A1 (en) * 2021-01-14 2022-07-14 Samsung Electronics Co., Ltd. Apparatus for manufacturing a semiconductor device
WO2024054774A1 (en) * 2022-09-07 2024-03-14 Lam Research Corporation Shaped silicon outer upper electrode for plasma processing

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241766A1 (en) 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US701726A (en) * 1901-12-30 1902-06-03 George F Hodkinson Filter.
US4654754A (en) 1982-11-02 1987-03-31 Fairchild Weston Systems, Inc. Thermal link
US4598249A (en) * 1984-02-29 1986-07-01 Rca Corporation Method using surface photovoltage (SPV) measurements for revealing heavy metal contamination of semiconductor material
US4782893A (en) 1986-09-15 1988-11-08 Trique Concepts, Inc. Electrically insulating thermally conductive pad for mounting electronic components
US4960612A (en) * 1987-07-02 1990-10-02 At&T Bell Laboratories Thermal conductor assembly method
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5660917A (en) 1993-07-06 1997-08-26 Kabushiki Kaisha Toshiba Thermal conductivity sheet
CA2129073C (en) 1993-09-10 2007-06-05 John P. Kalinoski Form-in-place emi gaskets
DE4339786C5 (de) 1993-11-18 2004-02-05 Emi-Tec Elektronische Materialien Gmbh Verfahren zur Herstellung einer Anordung zur Wärmeableitung
US5545473A (en) 1994-02-14 1996-08-13 W. L. Gore & Associates, Inc. Thermally conductive interface
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5679457A (en) 1995-05-19 1997-10-21 The Bergquist Company Thermally conductive interface for electronic devices
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3113796B2 (ja) 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
DE19636689A1 (de) * 1996-09-10 1998-03-12 Boehringer Ingelheim Kg Neue Benzamidinderivate
US5781412A (en) 1996-11-22 1998-07-14 Parker-Hannifin Corporation Conductive cooling of a heat-generating electronic component using a cured-in-place, thermally-conductive interlayer having a filler of controlled particle size
US6247703B1 (en) * 1997-08-29 2001-06-19 Interface Solutions, Inc. High-pressure compression-failure resistant and high sealing gasket
US6096414A (en) 1997-11-25 2000-08-01 Parker-Hannifin Corporation High dielectric strength thermal interface material
US6131646A (en) 1998-01-19 2000-10-17 Trw Inc. Heat conductive interface material
US6220607B1 (en) 1998-04-17 2001-04-24 Applied Materials, Inc. Thermally conductive conformal media
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6165612A (en) 1999-05-14 2000-12-26 The Bergquist Company Thermally conductive interface layers
US6496373B1 (en) 1999-11-04 2002-12-17 Amerasia International Technology, Inc. Compressible thermally-conductive interface
JP3839628B2 (ja) 1999-11-30 2006-11-01 芝浦メカトロニクス株式会社 プラズマ処理装置
US6343647B2 (en) 2000-01-11 2002-02-05 Thermax International, Ll.C. Thermal joint and method of use
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6475933B1 (en) 2000-01-27 2002-11-05 Northrop Grumman Corporation Highly conductive elastomeric sheet
ES2298223T3 (es) 2000-03-06 2008-05-16 Interface Solutions, Inc. Juntas planas con propiedades de adhesion a la superficie de bridas controladas.
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP2002093777A (ja) 2000-07-11 2002-03-29 Nisshinbo Ind Inc ドライエッチング装置
US6433484B1 (en) 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP4782761B2 (ja) 2001-02-09 2011-09-28 東京エレクトロン株式会社 成膜装置
US6651736B2 (en) 2001-06-28 2003-11-25 Intel Corporation Short carbon fiber enhanced thermal grease
JP3868341B2 (ja) 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
US7208192B2 (en) 2002-05-31 2007-04-24 Parker-Hannifin Corporation Thermally or electrically-conductive form-in-place gap filter
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7205050B2 (en) 2003-06-09 2007-04-17 Permatex, Inc. Low shear adhesion RTV silicone
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7014959B2 (en) 2003-06-30 2006-03-21 International Business Machines Corporation CD uniformity of chrome etch to photomask process
US7137444B2 (en) 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US7560007B2 (en) 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241766A1 (en) 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190045714A (ko) * 2017-10-24 2019-05-03 세메스 주식회사 기판 처리 장치 및 방법
KR102256691B1 (ko) * 2017-10-24 2021-05-26 세메스 주식회사 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
TW201005126A (en) 2010-02-01
TWI531682B (zh) 2016-05-01
JP5560267B2 (ja) 2014-07-23
WO2009151538A1 (en) 2009-12-17
US9899228B2 (en) 2018-02-20
EP2301067B1 (en) 2018-07-11
EP2301067A1 (en) 2011-03-30
CN102057471B (zh) 2013-02-20
JP2011523229A (ja) 2011-08-04
EP2301067A4 (en) 2013-08-28
US8679288B2 (en) 2014-03-25
CN102057471A (zh) 2011-05-11
US20140154888A1 (en) 2014-06-05
KR20110016442A (ko) 2011-02-17
US20090305509A1 (en) 2009-12-10

Similar Documents

Publication Publication Date Title
KR101546992B1 (ko) 플라즈마 처리 장치용 샤워헤드 전극 조립체
KR101541202B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
JP5974054B2 (ja) 温度制御式ホットエッジリング組立体
KR101345904B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
KR101419081B1 (ko) 입자 감소 특징을 갖는 상부 전극 백킹 부재
JP4995917B2 (ja) 石英ガードリング
JP7446176B2 (ja) 載置台及びプラズマ処理装置
US20200035465A1 (en) Substrate processing apparatus and plasma sheath height control method
WO2020251809A1 (en) Coated o-ring for protecting an electro- static chuck in a plasma processing chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180807

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190806

Year of fee payment: 5