KR101345904B1 - 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 - Google Patents

플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 Download PDF

Info

Publication number
KR101345904B1
KR101345904B1 KR1020067014646A KR20067014646A KR101345904B1 KR 101345904 B1 KR101345904 B1 KR 101345904B1 KR 1020067014646 A KR1020067014646 A KR 1020067014646A KR 20067014646 A KR20067014646 A KR 20067014646A KR 101345904 B1 KR101345904 B1 KR 101345904B1
Authority
KR
South Korea
Prior art keywords
top plate
plate
thermal
thermal control
control plate
Prior art date
Application number
KR1020067014646A
Other languages
English (en)
Other versions
KR20060129279A (ko
Inventor
윌리엄 에스 케네디
데이비드 이 제이콥
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20060129279A publication Critical patent/KR20060129279A/ko
Application granted granted Critical
Publication of KR101345904B1 publication Critical patent/KR101345904B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Abstract

플라즈마 프로세싱 장치의 샤워헤드 전극 어셈블리 (10) 는, 샤워헤드 전극 (20) 에 부착된 열 제어 플레이트 (58), 및 열 제어 플레이트 (58) 에 부착된 최상부 플레이트 (80) 를 포함한다. 하나 이상의 열 브리지 (61) 는, 최상부 플레이트와 열 제어 플레이트의 대향면들 사이에 제공되어, 최상부 플레이트 (80) 와 열 제어 플레이트 (58) 사이에 전기 및 열 전도를 허용한다. 열 브리지 (61) 와 최상부 플레이트 (80) 사이의 윤활 재료 (90) 는, 최상부 플레이트 (80) 와 열 제어 플레이트 (58) 사이의 차분 열 팽창으로 인해, 대향 금속면의 마모를 최소화시킨다. 열 제어 플레이트 (58) 에 의해 지지되는 가열기는, 원하는 온도로 샤워헤드 전극을 유지하기 위해 온도-제어되는 최상부 플레이트 (80) 와 협동한다.
Figure R1020067014646
반도체 기판 프로세싱 장치, 외측부

Description

플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리{SHOWERHEAD ELECTRODE ASSEMBLY FOR PLASMA PROCESSING APPARATUSES}
배경
에칭, 물리 기상 증착 (PVD), 화학 기상 증착 (CVD), 이온 주입, 및 레지스트 제거를 포함하는 기술에 의해 기판을 프로세싱하기 위하여, 플라즈마 프로세싱 장치가 이용된다. 플라즈마 프로세싱에서 이용되는 한가지 유형의 플라즈마 프로세싱 장치는, 상부 전극 및 하부 전극을 포함하는 반응 챔버를 구비한다. 반응 챔버에서 기판을 프로세싱하기 위해, 전계가 그 전극들 사이에 확립되어, 프로세스 가스를 플라즈마 상태로 여기시킨다.
요약
반도체 기판 프로세싱 장치의 샤워헤드 전극 어셈블리, 및 반도체 기판 프로세싱 챔버에서 샤워헤드 전극을 지지하는 열 제어 플레이트가 제공된다.
반도체 기판 프로세싱 챔버에서 샤워헤드 전극을 지지하는 열 제어 플레이트의 바람직한 실시형태는, 온도-제어되는 최상부 플레이트에 착탈식으로 부착가능한 금속 외측부, 및 샤워헤드 전극 및 최상부 플레이트에 착탈식으로 부착가능한 금속 내측부를 포함한다. 열 제어 플레이트의 내측부는, 최상부 플레이트와 샤워헤드 전극 사이에 열 및 전기 경로 (thermal and electrical path) 를 제공한다.
플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리의 바람직한 실시형태는, 최상부 플레이트, 샤워헤드 전극, 및 열 제어 플레이트를 포함한다. 열 제어 플레이트의 중심부가 최상부 플레이트에 대해 이동가능하도록, 열 제어 플레이트가 샤워헤드 전극과 최상부 플레이트에 부착된다. 하나 이상의 열 브리지 (thermal bridge) 가 최상부 플레이트와 열 제어 플레이트의 중심부 사이에 제공된다. 열 브리지는, 샤워헤드 전극과 최상부 플레이트 사이에 열 및 전기 경로를 제공한다.
열 브리지는, 열 제어 플레이트와 최상부 플레이트의 대향 면들 사이에, 열 및 전기 전도를 제공할 뿐만 아니라 슬라이딩을 허용하기 위해, 윤활 재료 (lubricating material) 를 포함하는 것이 바람직하다.
또 다른 바람직한 실시형태는, 반도체 기판 프로세싱 챔버에서 반도체 기판을 프로세싱하는 방법을 제공하며, 그 방법은, (a) 반도체 기판 프로세싱 장치의 플라즈마 챔버에서, 하부 전극을 포함하는 기판 지지체에 기판을 배치시키는 단계; (b) 바람직한 실시형태에 따른 샤워헤드 전극 어셈블리를 사용하여, 플라즈마 챔버에 프로세스 가스를 공급하는 단계; (c) 샤워헤드 전극 어셈블리와 기판 사이의 플라즈마 챔버에서 프로세스 가스로부터 플라즈마를 발생시키는 단계; (d) 그 플라즈마를 사용하여 기판을 프로세싱하는 단계; (e) 플라즈마의 발생을 종료시키는 단계; 및 (f) 플라즈마 챔버로부터 기판을 제거하는 단계를 포함한다. 샤워헤드 전극 어셈블리는 가열기 (heater) 를 포함하는 것이 바람직하다. 또 다른 바람직한 실시형태에서, 그 방법은, 원하는 온도로 샤워헤드 전극을 유지하기 위해, 상기 샤워헤드 전극에 열을 가하도록 (e) 단계 이후에, 가열기를 활성화시키는 단계, 및/또는 (a) 단계 내지 (f) 단계 동안에, 샤워헤드 전극에 열을 가하도록 상기 가열기를 활성화시키는 단계를 포함한다.
도면의 간단한 설명
도 1 은, 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 및 기판 지지체의 바람직한 실시형태의 일부를 도시한 도면이다.
도 2 는, 최상부 플레이트가 없는 샤워헤드 전극 어셈블리의 바람직한 실시형태의 최상부의 투시도이다.
도 3 은, 샤워헤드 전극 어셈블리의 가열기와 전원 사이의 일 예시적인 전기 접속을 도시한 도면이다.
상세한 설명
도 1 은, 반도체 기판, 예를 들어, 실리콘 웨이퍼가 프로세싱되는 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 (10) 의 바람직한 실시형태를 도시한 것이다. 샤워헤드 전극 어셈블리 (10; 도 1 에는, 절반만 도시됨) 는, 최상부 전극 (20) 과 그 최상부 전극 (20) 에 고정된 옵션의 백킹 부재 (backing member; 40) 를 포함하는 샤워헤드 전극, 열 제어 플레이트 (58), 및 최상부 플레이트 (80) 를 포함한다. 최상부 플레이트 (80) 는, 플라즈마 에칭 챔버와 같은 플라즈마 프로세싱 장치의 착탈식 (removable) 최상부 벽을 형성할 수 있다.
하부 전극과 옵션의 정전기 클램핑 전극을 포함하는 기판 지지체 (15; 도 1 에는, 일부만 도시됨) 는, 플라즈마 프로세싱 장치의 진공 프로세싱 챔버내의 최상부 전극 (20) 바로 밑에 위치된다. 플라즈마 프로세싱되는 기판 (16) 은, 기판 지지체 (15) 의 상부 지지면 (17) 에, 기계적으로 또는 정전기적으로 클램핑된다.
샤워헤드 전극의 최상부 전극 (20) 은, 내측 전극 부재 (22), 및 옵션의 외측 전극 부재 (24) 를 포함하는 것이 바람직하다. 내측 전극 부재 (22) 는 원통형 플레이트 (예를 들어, 단결정 실리콘) 인 것이 바람직하다. 내측 전극 부재 (22) 는, 프로세싱될 웨이퍼보다 작거나, 같거나, 큰 직경을 가질 수 있으며, 예를 들어, 플레이트가 단결정 실리콘으로 제조되는 경우에는, 현재 이용가능한 단결정 실리콘 재료의 최대 직경인 12 인치 (300mm) 까지의 직경을 가질 수 있다. 300 mm 웨이퍼를 프로세싱하기 위하여, 최상부 전극 (20) 의 직경을, 약 15 인치에서 약 17 인치까지 확장하기 위해 외측 전극 부재 (24) 가 제공된다. 외측 전극 부재 (24) 는, 연속 부재 (예를 들어, 링과 같은 폴리-실리콘 부재), 또는 세그먼트화된 부재 (예를 들어, 단결정 실리콘의 세그먼트와 같이 링 구성으로 배열된 2 개 내지 6 개의 별개의 세그먼트) 일 수 있다. 다중 세그먼트의 외측 전극 부재 (24) 를 포함하는 최상부 전극 (20) 의 실시형태에서, 이 세그먼트는, 서로 중첩하여 플라즈마에 대한 노출로부터 하위 접착제 (bonding material) 를 보호하는 에지를 갖는 것이 바람직하다. 내측 전극 부재 (22) 는, 최상부 전극 (20) 과 하부 전극 (15) 사이의 플라즈마 반응 챔버의 공간 (space) 으로 프로세스 가스를 주입하기 위해 다수의 가스 통로 (23) 를 포함하는 것이 바람직하다.
단결정 실리콘은, 내측 전극 부재 (22) 와 외측 전극 부재 (24) 의 플라즈마 노출면을 위한 바람직한 재료이다. 고-순도의 단결정 실리콘은, 바람직하지 않은 원소를 오직 극소량만 반응 챔버에 도입하기 때문에, 플라즈마 프로세싱 동안에 기판의 오염을 최소화시키고, 또한, 플라즈마 프로세싱 동안에 평활하게 마멸시켜, 파티클을 최소화시킨다. 최상부 전극 (20) 의 플라즈마-노출면에 이용될 수 있는 다른 재료는, 예를 들어, SiC, SiN, AlN, 및 Al2O3 을 포함한다.
바람직한 실시형태에서, 샤워헤드 전극 어셈블리 (10) 는, 300mm 의 직경을 갖는 반도체 웨이퍼와 같은 대형 기판을 프로세싱하기에 충분히 크다. 300mm 웨이퍼에 있어서, 최상부 전극 (20) 의 직경은 300mm 이상이다. 그러나, 샤워헤드 전극 어셈블리는, 다른 웨이퍼 사이즈 또는 비-원형의 구성을 갖는 기판을 프로세싱하기 위해 사이징될 수 있다.
백킹 부재 (40) 는, 백킹 플레이트 (42) 와 백킹 링 (44) 을 포함하는 것이 바람직하다. 이러한 실시형태에서, 내측 전극 부재 (22) 는 백킹 플레이트 (42) 와 동연 (同延) 이고, 외측 전극 부재 (24) 는 둘러싼 백킹 링 (44) 과 동연이다. 그러나, 백킹 플레이트 (42) 는, 단일의 백킹 플레이트가 내측 전극 부재와 세그먼트화된 외측 전극 부재를 지지하는데 이용될 수 있도록 내측 전극 부재를 넘어 연장될 수 있다. 바람직하게, 내측 전극 부재 (22) 와 외측 전극 부재 (24) 는, 엘라스토머 접착제와 같은 접착제에 의해, 백킹 부재 (40) 에 부착된다. 백킹 플레이트 (42) 는, 플라즈마 프로세싱 챔버로 가스 흐름을 제공하기 위해, 내측 전극 부재 (22) 의 가스 통로 (23) 와 정렬된 가스 통로 (43) 를 포함한다. 통상, 가스 통로 (43) 는, 약 0.04 인치의 직경을 가질 수 있으며, 통상, 가스 통로 (23) 는, 약 0.025 인치의 직경을 가질 수 있다.
백킹 플레이트 (42) 와 백킹 링 (44) 은, 플라즈마 프로세싱 챔버에서 반도체 기판의 프로세싱용으로 이용되는 프로세스 가스와 화학적으로 융화성이며, 전극 재료의 열 팽창 계수와 밀접하게 매칭하는 열 팽창 계수를 가지며/가지거나, 전기적으로 및 열적으로 도전성이 있는 재료로 제조되는 것이 바람직하다. 백킹 부재 (40) 를 제조하는데 이용될 수 있는 바람직한 재료는, 그래파이트 및 SiC 를 포함하지만, 이에 제한되지는 않는다.
최상부 전극 (20) 은, 열 응력을 수용 (accommodate) 하고 최상부 전극 (20) 과 백킹 플레이트 (42) 와 백킹 링 (44) 사이에 열 및 전기 에너지를 전달하는 열적 및 전기적 전도성 엘라스토머 접착제를 사용하여, 백킹 플레이트 (42) 와 백킹 링 (44) 에 부착될 수 있다. 전극 어셈블리의 표면과 함께 접착하기 위한 엘라스토머의 이용은, 예를 들어, 여기에 완전히 참조로서 포함되며 공동으로 소유된 미국 특허 제 6,073,577 호에서 설명된다.
바람직하게, 백킹 플레이트 (42) 와 백킹 링 (44) 은, 나사형 볼트, 스크류 등일 수 있는 적절한 패스너 (fastener) 를 사용하여, 열 제어 플레이트 (58) 에 부착된다. 예를 들어, 볼트 (미도시됨) 는, 열 제어 플레이트 (58) 의 홀 (hole) 에 삽입되어, 백킹 부재 (40) 의 나사형 개구로 스크류될 수 있다.
도 1 및 도 2 를 참조하면, 열 제어 플레이트 (58) 는, 상면 (60) 을 갖는 콘투어드 플레이트 (contoured plate; 59) 를 포함하는 금속성 내측부, 및 그 상면에, 제 1 열 전달면 (62) 을 갖는 제 1 돌출부 (61) 와 제 2 열 전달면 (64) 을 갖는 제 2 돌출부 (63) 를 포함한다. 다른 바람직한 실시형태에서, 열 제어 플레이트 (58) 는, 2 개보다 많은 돌출부, 예를 들어, 3 개 이상의 돌출부를 포함할 수 있다. 열 제어 플레이트 (58) 는, 최상부 플레이트의 오버사이징된 개구 (미도시됨) 를 통해, 제 1 돌출부 (61) 의 제 1 열 전달면 (62) 과 제 2 돌출부 (63) 의 제 2 열 전달면 (64) 의 나사형 개구 (65) 로 연장되는 패스너를 사용하여 최상부 플레이트 (80) 에 부착된다 (도 2). 또한, 열 제어 플레이트 (58) 는, 백킹 플레이트 (42) 에 열 제어 플레이트 (58) 를 착탈식으로 부착하기 위해 패스너를 수용하는 나사형 개구 (117) 를 포함한다. 최상부 플레이트 (80) 의 오버사이징된 개구는, 최상부 플레이트에 대한 열 제어 플레이트의 열 팽창에 있어서 미스매치를 수용하기 위해 열 제어 플레이트 (58) 가 최상부 플레이트에 대하여 슬라이딩할 수 있도록, 패스너 둘레에 클리어런스 (clearance) 를 제공한다.
또한, 열 제어 플레이트 (58) 는, 내측부를 외측부에 접속시키고, 최상부 플레이트 (80) 의 대향면에 대해 홀드된 상면 (70) 을 갖는 플랜지 (68) 를 포함하는 플렉서부 (flexure portion; 66) 를 포함한다. 제 1 열 전달면 (62) 과 제 2 열 전달면 (64) 은, 환형 (annular) 의 구성을 갖는 것이 바람직하다. 제 1 돌출부 (61) 와 제 2 돌출부 (63) 는, 약 0.25 인치 내지 약 0.75 인치의 높이, 및 약 0.75 인치 내지 약 1.25 인치의 폭을 갖는 것이 바람직하다. 그러나, 제 1 돌출부 (61) 및/또는 제 2 돌출부 (63) 는, 비-환형의 구성, 예를 들어, 아치형 세그먼트, 다면체, 원형, 타원형 또는 다른 구성을 가질 수 있다.
열 제어 플레이트 (58) 는, 알루미늄, 알루미늄 합금 등과 같은 금속성 재료로 제조되는 것이 바람직하다. 열 제어 플레이트 (58) 는, 알루미늄 또는 알루미늄 합금과 같은 금속성 재료의 기계가공된 피스 (machined piece) 인 것이 바람직하다. 최상부 플레이트 (80) 는 알루미늄 또는 알루미늄 합금으로 제조되는 것이 바람직하다. 최상부 플레이트 (80) 는, 온도-제어되는 유체, 바람직하게는, 액체가 원하는 온도로 최상부 플레이트를 유지하기 위해 순환될 수 있는 하나 이상의 흐름 통로 (88) 를 포함하는 것이 바람직하다.
프로세싱 챔버에서 반도체 기판을 프로세싱하는 동안에, 제 1 열 전달면 (62), 제 2 열 전달면 (64) 으로부터 상면 (70) 을 통한 열 전도에 의해, 내측 전극 부재 (22) 와 외측 전극 부재 (24) 와 백킹 플레이트 (42) 와 백킹 링 (44) 으로부터 최상부 플레이트 (80) 의 하면 (82) 까지 열이 전달된다. 즉, 제 1 돌출부 (61) 와 제 2 돌출부 (63) 는, 또한, 최상부 플레이트 (80) 에 대해 내측 전극 부재 (22), 외측 전극 부재 (24), 백킹 플레이트 (42) 와, 백킹 링 (44) 사이의 열 브리지를 제공한다. 열 제어 플레이트 (58) 에 걸쳐 이격된 위치에서의 이런 향상된 열 전달은, 최상부 전극 (20) 에 걸쳐 방사상으로 실질적으로 균일한 온도 분배를 달성할 수 있다.
샤워헤드 전극 어셈블리 (10) 의 동작 동안에, 열 제어 플레이트 (58) 와 최상부 플레이트 (80) 는 가열되어 열적으로 팽창한다. 그 결과, 최상부 플레이트 (80) 와 열 제어 플레이트 (58) 는 서로에 대하여 슬라이딩할 수 있다. 이 슬라이딩은, 서로 접촉하는 최상부 플레이트 (80) 및/또는 열 제어 플레이트 (58) 의 표면들 (예를 들어, 열 제어 플레이트 (58) 의 중심부의 하나 이상의 표면들) 을 마모시킬 수 있고, 알루미늄 입자와 같은 입자가 접촉면으로부터 제거되게 할 수 있다. 흩어진 (loose) 입자들은, 반응 챔버에서의 기판을 오염시켜, 그에 따라 프로세스 수율을 감소시킬 수도 있다.
최상부 플레이트 (80) 및/또는 열 제어 플레이트 (58) 의 대향면의 마모가,그 대향면들 사이에 윤활성을 갖는 재료를 배치함으로써 최소화될 수 있다고 결정되었다. 바람직한 실시형태에서, 윤활 재료 (90) 의 하나 이상의 층은, 열 제어 플레이트 (58) 의 제 1 열 전달면 (62) 및 제 2 열 전달면 (64) 과 최상부 플레이트 (80) 의 하면 (82) 사이에 배치된다.
윤활 재료 (90) 는, 충분한 열 및 전기 전도성을 가져, 제 1 열 전달면 (62) 및 제 2 열 전달면 (64) 으로부터 최상부 플레이트 (80) 까지 충분한 열 전달 및 전기 전도를 제공한다. 이런 특성들을 제공하는 바람직한 재료에는, 오하이오주, 클리블랜드의 UCAR Carbon Co., Inc. 로부터 상업적으로 입수가능한 "GRAFOIL" 과 같은 탄성 변형가능한 그래파이트 재료가 있다. 윤활 재료 (90) 는, 약 0.010 인치 내지 약 0.030 인치의 바람직한 두께를 갖는, 더 바람직하게는, 약 0.015 인치의 두께를 갖는 개스킷 (gasket) 인 것이 바람직하다. 그 윤활 재료 (90) 는 링 형상 개스킷인 것이 바람직하며, 각각의 개스킷은 제 1 열 전달면 (62) 과 제 2 열 전달면 (64) 각각에 형성된 개개의 환형의 리세스 (recess) 에 유지된다.
윤활 재료 (90) 는, 반응 챔버에서의 플라즈마 노출로부터 보호되는 것이 바람직하다. 바람직한 실시형태에서, 그 윤활 재료 (90) 는, 진공 실 (seal) 들, 예를 들어, 열 제어 플레이트 (58) 의 제 1 열 전달면 (62) 과 제 2 열 전달면 (64) 의 이격된 환형의 홈 (groove; 105) 에 유지된 한쌍의 옵션의 오-링 (O-ring; 104) 사이에 배치된다. 오-링 (104) 은, 윤활 재료 (90) 를, 플라즈마 챔버의 진공 환경으로부터 고립시켜, 윤활 재료를 플라즈마 노출로부터 보호한다. 제 1 열 전달면 (62) 또는 제 2 열 전달면 (64) 을 따라서 금속-대-금속 슬라이딩 접촉이 없도록, 제 1 열 전달면 (62) 과 제 2 열 전달면 (64) 이 그 윤활 재료 (90) 에 의해 충분한 거리만큼, 최상부 플레이트 (80) 의 하면 (82) 으로부터 이격된다.
열 제어 플레이트 (58) 는, 최상부 전극 (20) 의 온도를 제어하기 위해, 온도-제어되는 최상부 플레이트 (80) 와 협동하여 동작가능한 하나 이상의 가열기를 포함하는 것이 바람직하다. 예를 들어, 바람직한 실시형태에서, 가열기는, 열 제어 플레이트 (58) 의 상면에 제공되며, 제 1 돌출부 (61) 에 의해 둘러싸인 제 1 가열기 구역 (heater zone; 72), 제 1 돌출부 (61) 와 제 2 돌출부 (63) 사이의 제 2 가열기 구역 (74), 및 제 2 돌출부 (63) 와 플렉서부 (66) 사이의 제 3 가열기 구역 (76) 을 포함한다. 가열기 구역의 개수는 변할 수 있으며, 예를 들어, 다른 실시형태에서는, 가열기가 단일의 가열기 구역, 2 개의 가열기 구역, 또는 3 개보다 많은 가열기 구역을 포함할 수 있다. 또 다른 방법으로는, 가열기가 열 제어 플레이트 (58) 의 저면에 제공될 수 있다.
바람직하게, 가열기는, 가열기에 의해 도달된 동작 온도를 견딜 수 있는 폴리머 재료의 대향층들 사이에 배치된 저항성 가열 재료 (resistively heated material) 를 포함하는 라미네이트 (laminate) 를 포함한다. 사용될 수 있는 예시적인 폴리머 재료에는, E.I. du Pont de Nemours and Company 로부터 상업적으로 입수가능한 상표 Kapton? 하에 판매되는 폴리이미드가 있다. 또 다른 방법으로, 가열기는, 열 제어 플레이트에 임베딩된 저항성 가열기 (예를 들어, 캐스트 열 제어 플레이트의 가열 엘리먼트 또는, 열 제어 플레이트에 형성된 채널에 위치된 가열 엘리먼트) 일 수 있다. 가열기의 또 다른 실시형태는, 열 제어 플레이트의 상면 및/또는 하면에 실장된 저항성 가열 엘리먼트를 포함한다. 열 제어 플레이트의 가열은, 전도 및/또는 방사에 의해 달성될 수 있다.
가열기 재료는, 제 1 가열기 구역 (72), 제 2 가열기 구역 (74) 및 제 3 가열기 구역 (76) 의 열적으로 균일한 가열을 제공하는 임의의 적절한 패턴을 가질 수 있다. 예를 들어, 라미네이트 가열기는, 지그-재그, S-곡선, 또는 동심원 패턴과 같은 규칙적이거나 비-규칙적인 패턴의 저항성 가열 라인을 가질 수 있다. 열 제어 플레이트 (58) 를 가열기로 가열함으로써, 온도-제어되는 최상부 플레이트 (80) 의 동작과 협동하여, 샤워헤드 전극 어셈블리 (10) 의 동작 동안에, 원하는 온도 분배가 최상부 전극 (20) 에 걸쳐 제공될 수 있다.
제 1 가열기 구역 (72), 제 2 가열기 구역 (74), 및 제 3 가열기 구역 (76) 에 위치된 가열기 섹션은, 임의의 적절한 기술, 예를 들어, 패스너, 점착제, 열과 압력의 적용 등에 의해 열 제어 플레이트 (58) 에 고정될 수 있다.
바람직한 실시형태에서, 제 1 가열기 구역 (72), 제 2 가열기 구역 (74), 및 제 3 가열기 구역 (76) 은, 전기 커넥터 (77) 를 통해 직렬로 전기적으로 상호접속된다. 바람직한 실시형태에서, 가열기는, 제 1 위상에서 AC 전류를 수용하도록 구성된 제 1 저항성 가열 전도체, 제 2 위상에서 AC 전류를 수용하도록 구성된 제 2 저항성 가열 전도체, 및 제 3 위상에서 AC 전류를 수용하도록 구성된 제 3 저항성 가열 전도체를 포함하는 3 개의 회로를 포함하며, 여기서 제 1 위상, 제 2 위상 및 제 3 위상은 서로 120°만큼 위상이 다르다 (out of phase).
도 3 에 도시된 바와 같이, 가열기는, 단일의 전원 (110) 으로부터 전력을 수용할 수 있다. 바람직한 실시형태에서, 전원 (110) 은, 열 제어 플레이트 (58) 의 플랜지 (68) 의 개구 (93) 에 수용된 포스트 (post; 95) 와 같은, 3 개의 원주상으로 이격된 포스트에 전기적으로 접속된다. 포스트 (95) 는, 플랜지 (68) 를 통해 부트 (boot; 79) 로 연장되고, 제 3 가열기 구역 (76) 에 위치된 3-위상 가열기의 개개의 위상을 전기적으로 접촉시키는 전기 전도체 (97) 에 각각 접속된다. 제 3 가열기 구역 (76) 의 3-위상은, 커넥션 (77) 을 통해 제 2 가열기의 대응하는 3-위상에 전기적으로 접속되며, 제 2 가열기의 3-위상은, 커넥션 (77) 에 의해 제 1 가열기의 3-위상에 전기적으로 접속된다.
열 제어 플레이트 (58) 는, 제 1 가열기 구역 (72) 보다 위의 플리넘 (plenum) 으로부터 제 2 가열기 구역 (74) 보다 위의 플리넘까지 그리고 제 2 가열기 구역 (74) 보다 위의 플리넘으로부터 제 3 가열기 구역 (76) 보다 위의 플리넘까지 측방향으로 프로세스 가스 흐름을 허용하기 위해 측방향 가스 통로 (75) 를 포함하는 것이 바람직하다. 바람직한 실시형태에서, 복수의 가스 통로 (75) 는, 제 1 돌출부 (61) 와 제 2 돌출부 (63) 를 통해 연장된다. 가스 통로 (75) 는, 전기 커넥터 (77) 로 하여금 가스 통로 (75) 를 통해 연장되게 하여, 제 1 가열기 구역 (72), 제 2 가열기 구역 (74), 및 제 3 가열기 구역 (76) 을 전기적으로 접속시키도록 사이징된다. 바람직하게는, 가스 통로 (75) 는, 열 제어 플레이트와 백킹 부재 (40) 사이의 플리넘과 연통하는 개구 (78) 를 통과하는 가스의 실질적으로 균일한 압력 분배를 제공하기 위하여, 열 제어 플레이트 (58) 의 상면에 걸쳐 프로세스 가스가 분배되도록 하기에 충분히 크다.
최상부 전극 (20) 은, 전기적으로 접지될 수 있고, 또는 다른 방법으로는, 바람직하게는 무선-주파수 (RF) 전류원에 의해 전력공급될 수 있다. 바람직한 실시형태에서, 최상부 전극 (20) 이 접지되고, 플라즈마 프로세싱 챔버에서 플라즈마를 발생시키기 위해 하나 이상의 주파수에서의 전력이 하부 전극에 인가된다. 예를 들어, 2 개의 독립적으로 제어되는 무선 주파수 전력원에 의해 2 MHz 및 27 MHz 의 주파수로 하부 전극이 전력공급될 수 있다. 기판이 프로세싱된 이후 (예를 들어, 반도체 기판이 플라즈마 에칭된 이후) 에, 하부 전극으로의 전력 공급이 차단되어, 플라즈마 발생을 종료시킨다. 프로세싱된 기판이 플라즈마 프로세싱 챔버로부터 제거되고, 다른 기판이 플라즈마 프로세싱을 위해 기판 지지체 (15) 에 배치된다. 바람직한 실시형태에서, 하부 전극으로의 전력이 차단될 때, 열 제어 플레이트 (58) 와 최상부 전극 (20) 을 차례로 가열하도록 가열기가 활성화된다. 그 결과, 바람직하게, 최상부 전극 (20) 온도는, 원하는 최소 온도 미만으로 감소하지 못하게 된다. 바람직하게, 최상부 전극 (20) 온도가 연속적인 기판 프로세싱 실행들 사이에 대략 일정한 온도로 유지되어서, 기판이 보다 균일하게 프로세싱됨으로써, 프로세스 수율을 향상시킨다. 바람직하게, 전원 (110) 은, 최상부 전극 (20) 의 원하는 온도와 실제 온도에 기초하여, 원하는 레벨 및 레이트로 가열기에 전력을 공급하도록 제어가능하다.
샤워헤드 전극 어셈블리 (10) 는, 열전대 (thermocouple) 와 같은 하나 이상의 온도 센서를 포함하여, 최상부 전극 (20) 온도를 모니터링할 수 있다. 바람직하게, 온도 센서는, 전원 (110) 으로부터 가열기로의 전력 공급을 제어하는 제어기에 의해 모니터링된다. 최상부 전극 (20) 온도가 소정의 온도 미만임을 온도 센서에 의해 제공된 데이터가 나타낼 때, 소정의 온도 이상으로 최상부 전극 (20) 을 유지하기 위하여 가열기에 전력을 공급하도록 제어기에 의해 전원 (110) 이 활성화될 수 있다.
또한, 기판의 플라즈마 프로세싱 동안에, 즉, 샤워헤드 전극 어셈블리 (10) 와 하부 전극 사이에서 플라즈마가 발생될 때에 가열기가 활성화될 수 있다. 예를 들어, 플라즈마를 발생시키기 위해 비교적 낮은 레벨의 인가된 전력을 이용하는 플라즈마 프로세싱 동작 동안에, 최상부 전극 (20) 의 온도를 원하는 온도 범위내로 유지하도록 가열기가 활성화될 수 있다. 유전체 재료 에칭 프로세스와 같이, 비교적 높은 전력 레벨을 이용하는 다른 플라즈마 프로세싱 동작 동안에, 통상, 최상부 전극 (20) 온도가 연속적인 실행들 사이에서 충분히 높게 유지되어서, 최상부 전극이 최소 온도 미만으로 떨어지지 못하도록, 가열기가 활성화될 필요가 없다.
도 3 에 도시된 실시형태에서, 열 제어 플레이트 (58) 의 플렉서부 (66) 는, 플랜지 (68) 로 연장되는 원통형 벽을 포함한다. 플랜지 (68) 는, 최상부 플레이트 (80) 와 플랜지 (68) 각각의 정렬된 개구 (84, 86) 로 삽입되는 패스너 (예를 들어, 나사형 볼트, 스크류 등) 에 의한 것과 같이 최상부 플레이트 (80) 에 부착된다 (도 1). 플랜지 (68) 는 환형의 구성을 갖는 것이 바람직하다. 플렉서부 (66) 는, 최상부 플레이트 (80) 에 대한 열 제어 플레이트 (58) 의 열 팽창 및 수축을 수용할 수 있는 구성을 갖는다. 즉, 플렉서부 (66) 는, 최상부 플레이트 (80) 와 열 제어 플레이트 (58) 의 중심부들 사이의 측방향 및 축 이동을 수용하고, 열 제어 플레이트 (58) 에 대한 관련 손상을 방지하기 위해 최적화된 길이 대 두께 비율을 갖는 것이 바람직하다. 측방향 슬라이딩 이동 동안에, 윤활 재료 (90) 는, 열 제어 플레이트 (58) 의 열 전달면 (62 및 64) 과 최상부 플레이트 (80) 의 하면 (82) 의 마모를 방지한다. 플렉서부 (66) 를 제공함으로써, 플랜지 (68) 의 상면 (70) 과 최상부 플레이트 (80) 의 하면 (82) 사이에서 윤활 재료가 생략될 수 있다.
최상부 플레이트 (80) 의 개구 (84) 를 통해 플랜지 (68) 에 형성된 개구 (86) 로 연장되는 적절한 패스너를 사용하여, 열 제어 플레이트 (58) 가 최상부 플레이트 (80) 에 착탈식으로 부착된다. 일 실시형태에서, 샤워헤드 전극 어셈블리 (10) 는, 최상부 플레이트 (80) 의 최상 측 (122) 에 부착된 커버 플레이트 (120) 를 포함한다. 커버 플레이트 (120) 가 최상부 플레이트 (80) 의 개구의 최상단을 실링하여서, 이들 개구의 패스너는 프로세싱 장치에서 진공 압력으로 존재한다. 그러나, 개구 (86) 둘레에 진공 실을 제공함으로써 커버 플레이트가 생략될 수 있다 (예를 들어, 오-링 (104) 이 개구 (86) 를 포함하는 섹션 둘레에 제공될 수 있다). 도 2 에서, 3 개의 오-링은 6 개의 이격된 개구 (84) 를 각각 포함하는 3 개의 진공 실링 섹션을 제공한다.
제 1 돌출부 (61) 와 제 2 돌출부 (63) 각각이 오-링 (104) 을 포함하여, 열 제어 플레이트 (58) 와 최상부 플레이트 (80) 사이에 진공 실링 영역을 제공하는 열 제어 플레이트 (58) 의 실시형태에서, 열 제어 플레이트 (58) 에 최상부 플레이트 (80) 를 부착시키는 패스너는, 볼트의 최상부가 실링되지 않는 경우에, 프로세싱 장치에서 대기 압력에 노출될 수 있다.
복수의 원주상으로 이격된 정렬 핀 (106) 은, 열 제어 플레이트 (58) 의 플랜지 (68) 에 옵션으로 제공된다. 최상부 플레이트 (80) 의 정렬 개구 (미도시됨) 에 끼워맞춰져, 최상부 플레이트 (80) 에 대해 열 제어 플레이트 (58) 를 원주상으로 및 방사상으로 정렬시키도록 정렬 핀 (106) 이 사이징된다.
최상부 플레이트 (80) 는, 최상부 플레이트 (80) 와 열 제어 플레이트 (58) 사이의 하나 이상의 개방 공간 (플리넘) 으로 프로세스 가스를 도입하기 위한 하나 이상의 가스 흐름 통로를 포함하는 것이 바람직하다. 예를 들어, 프로세스 가스는, 제 1 가열기보다 위의 제어 플리넘으로만 공급될 수 있으며, 통로 (75) 를 통해 다른 플리넘으로 분배될 수 있다. 프로세스 가스는, 통로 (78) 를 통해, 상부 플리넘으로부터 하부 플리넘으로 흐르게 된 후, 백킹 플레이트 (42) 의 가스 통로 (43) 및 내부 전극 부재 (22) 의 가스 통로 (23) 를 통해 흐르게 된다. 가스 통로 (78) 는, 열 제어 플레이트 (58) 를 통해, 원하는 압력 강하를 제공하도록 사이징된다. 가스 통로 (78) 는, 통상, 약 0.3 인치의 직경을 가질 수 있다. 바람직하게, 가스 통로 (78) 의 개수 및 배열은, 플라즈마 챔버로의 균일한 가스 분배 압력을 제공하기 위해, 최상부 전극 (20) 에 걸쳐 최상부 전극 (20) 위에서 균일한 가스를 달성하도록 선택된다. 샤워헤드 전극 어셈블리 (10) 는, 상부 및/또는 하부 플리넘에 배플 (baffle) 을 옵션으로 포함하여, 가스 흐름의 균일도를 제어할 수 있다.
최상부 플레이트 (80) 의 온도는, 흐름 통로(들) (88) 을 통해 열 전달 유체 (액체 또는 가스) 를 흐르게 함으로써 제어되는 것이 바람직하다. 최상부 플레이트 (80) 는, 샤워헤드 전극 어셈블리 (10) 에, 열 싱크뿐만 아니라 전기 접지를 제공하는 것이 바람직하다.
도 2 에 도시된 바와 같이, 샤워헤드 전극 어셈블리 (10) 의 외부에 제공될 수 있는 플라즈마 한정 (confinement) 어셈블리의 제어 로드의 통로에 대해 열 제어 플레이트 (58) 의 플랜지 (68) 에 개구 (114) 가 제공된다. 수직으로-조정가능한 플라즈마 한정 링 어셈블리를 포함하는 적절한 플라즈마 한정 어셈블리는, 여기에 완전히 참조로서 포함되며 공동으로-소유된 미국 특허 제 5,534,751 호에서 설명된다.
본 발명이 본 발명의 특정 실시형태를 참조하여 상세히 설명되었지만, 당업 자는, 첨부된 청구항의 범위로부터 벗어남 없이, 다양한 변경 및 변형이 행해질 수 있고, 균등물이 사용될 수 있음을 알 수 있다.

Claims (32)

  1. 반도체 기판 프로세싱 챔버에서 샤워헤드 전극을 지지하기 위한 열 제어 플레이트로서,
    온도-제어되는 최상부 플레이트에 착탈식으로 부착가능하도록 구성된, 환형의 플랜지를 포함하는 금속 외측부;
    상기 샤워헤드 전극과 상기 최상부 플레이트에 착탈식으로 부착가능하도록 구성되며, 상기 최상부 플레이트와 상기 샤워헤드 전극 사이에 열 및 전기 경로를 제공하는, 콘투어드 플레이트 (contoured plate) 를 포함하는 금속 내측부; 및
    상기 환형의 플랜지로부터 상기 내측부로 연장되는 원통형 벽을 포함하여 상기 외측부를 상기 내측부로 접속시키는 금속 플렉서부 (flexure portion) 로서, 상기 플렉서부는 상기 최상부 플레이트 및 상기 열 제어 플레이트 사이의 열 팽창의 차이를 수용하고 열 및 전기 전도를 제공하도록 구성되어 있는, 상기 금속 플렉서부를 포함하는, 열 제어 플레이트.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 콘투어드 플레이트는, 상면 및 상기 상면 상에 환형의 제 1 돌출부를 포함하며,
    상기 제 1 돌출부는, 상기 최상부 플레이트에 열을 전달하도록 구성된 제 1 열 전달면, 및 상기 최상부 플레이트와 상기 제 1 열 전달면 사이에 오-링을 각각 수용하도록 구성된 이격된 환형의 홈들을 포함하는, 열 제어 플레이트.
  4. 제 3 항에 있어서,
    상기 콘투어드 플레이트는, 상기 상면상에, 상기 제 1 돌출부로부터 방사상으로 이격된 환형의 제 2 돌출부를 포함하며,
    상기 제 2 돌출부는, 상기 최상부 플레이트에 열을 전달하도록 구성된 제 2 열 전달면, 및 상기 최상부 플레이트와 상기 제 2 열 전달면 사이에 오-링을 각각 수용하도록 구성된 이격된 환형의 홈들을 포함하는, 열 제어 플레이트.
  5. 제 1 항에 있어서,
    상기 금속 내측부는, 상기 최상부 플레이트와 상기 샤워헤드 전극 사이에 열 및 전기 경로를 제공하는 하나 이상의 열 브리지를 포함하는, 열 제어 플레이트.
  6. 제 1 항에 있어서,
    상기 금속 외측부는, 상기 최상부 플레이트의 정렬 개구에 끼워 맞춰지도록 구성되어 상기 열 제어 플레이트와 상기 최상부 플레이트 사이에 원주상 및 방사상 정렬을 제공하는 정렬 핀, 상기 최상부 플레이트의 저부 측을 통해 연장되는 볼트를 수용하도록 구성된 나사형 개구, 및 상기 최상부 플레이트와 상기 열 제어 플레이트 사이에 오-링을 각각 수용하도록 구성된 홈들을 포함하는, 열 제어 플레이트.
  7. 제 1 항에 있어서,
    상기 샤워헤드 전극에 열을 공급하도록 동작가능한 하나 이상의 가열기를 더 포함하는, 열 제어 플레이트.
  8. 제 7 항에 있어서,
    상기 가열기는, 상기 콘투어드 플레이트의 중심 구역의 내측 가열기 섹션 및 상기 콘투어드 플레이트의 상기 중심 구역으로부터의 외측의 하나 이상의 외측 가열기 섹션을 포함하며,
    상기 내측 가열기 섹션과 상기 외측 가열기 섹션은 하나 이상의 전기 커넥터에 의해 상호 접속되는, 열 제어 플레이트.
  9. 제 7 항에 있어서,
    상기 가열기는, 유전체 층들 사이에 저항성 가열 재료를 포함한 라미네이트를 포함하는, 열 제어 플레이트.
  10. 제 7 항에 있어서,
    상기 가열기는 3-위상 가열기를 포함하는, 열 제어 플레이트.
  11. 제 7 항에 있어서,
    상기 가열기는, 제 1 위상에서 AC 전류를 수용하도록 구성된 제 1 저항성 가열 전도체, 제 2 위상에서 AC 전류를 수용하도록 구성된 제 2 저항성 가열 전도체, 및 제 3 위상에서 AC 전류를 수용하도록 구성된 제 3 저항성 가열 전도체를 포함하는 3 개의 회로를 포함하며,
    상기 제 1 위상, 상기 제 2 위상, 및 상기 제 3 위상은, 서로 120°만큼 위상이 다른, 열 제어 플레이트.
  12. 제 1 항에 있어서,
    상기 금속 내측부의 대향측들 사이에서 연장되는 가스 통로를 더 포함하는, 열 제어 플레이트.
  13. 반도체 기판 프로세싱 장치의 샤워헤드 전극 어셈블리로서,
    최상부 플레이트;
    샤워헤드 전극;
    제 1 항에 기재된 상기 열 제어 플레이트의 중심부가 상기 최상부 플레이트에 대하여 이동가능하도록, 상기 샤워헤드 전극과 상기 최상부 플레이트에 부착되는 상기 열 제어 플레이트; 및
    상기 샤워헤드 전극과 상기 최상부 플레이트 사이에 열 및 전기 경로를 제공하며, 상기 열 제어 플레이트의 중심부와 상기 최상부 플레이트 사이의 하나 이상의 열 브리지를 포함하는, 샤워헤드 전극 어셈블리.
  14. 제 13 항에 있어서,
    2 개 이상의 측방향으로 이격된 열 브리지를 포함하는, 샤워헤드 전극 어셈블리.
  15. 제 14 항에 있어서,
    상기 열 브리지 각각은, 상기 열 제어 플레이트와 상기 최상부 플레이트 사이에 열 및 전기 전도성을 제공하는 윤활 재료의 층을 포함하며,
    상기 윤활 재료는, 하나 이상의 오-링을 포함하는 진공 실 (seal) 내에 위치되는, 샤워헤드 전극 어셈블리.
  16. 제 15 항에 있어서,
    상기 윤활 재료의 층 각각은, 환형의 구성을 가지며 0.75 인치에서 1.25 인치까지의 폭을 갖는, 샤워헤드 전극 어셈블리.
  17. 제 13 항에 있어서,
    상기 열 제어 플레이트를 가열하도록 구성된 가열기를 더 포함하는, 샤워헤드 전극 어셈블리.
  18. 제 17 항에 있어서,
    상기 가열기는 3-위상 가열기인, 샤워헤드 전극 어셈블리.
  19. 제 17 항에 있어서,
    상기 가열기는, 유전체 재료의 대향 층들 사이에 저항성 가열 재료를 포함한 라미네이트를 포함하는, 샤워헤드 전극 어셈블리.
  20. 제 13 항에 있어서,
    상기 최상부 플레이트는, 상기 최상부 플레이트의 온도를 제어하기 위해 열 전달 유체가 흐르게 되는 하나 이상의 흐름 통로를 포함하는, 샤워헤드 전극 어셈블리.
  21. 삭제
  22. 제 13 항에 있어서,
    상기 샤워헤드 전극은, 엘라스토머 접착제에 의해 실리콘 플레이트의 상면에 접착되는 백킹 플레이트를 포함하는, 샤워헤드 전극 어셈블리.
  23. 제 22 항에 있어서,
    상기 백킹 플레이트는 그래파이트 백킹 플레이트인, 샤워헤드 전극 어셈블 리.
  24. 제 13 항에 있어서,
    상기 열 제어 플레이트는 알루미늄 또는 알루미늄 합금의 기계가공된 피스인, 샤워헤드 전극 어셈블리.
  25. 제 13 항에 있어서,
    상기 최상부 플레이트는, 상기 최상부 플레이트의 개구를 통해 연장되는 패스너를 사용하여 상기 열 제어 플레이트에 부착되며,
    상기 샤워헤드 전극 어셈블리는, 상기 패스너가 상기 반도체 기판 프로세싱 장치에서 진공 압력에 노출되도록, 상기 개구를 실링하고 상기 최상부 플레이트의 최상 측에 부착된 커버 플레이트를 포함하는, 샤워헤드 전극 어셈블리.
  26. 제 13 항에 있어서,
    상기 최상부 플레이트는, 상기 최상부 플레이트의 오버사이징된 (oversized) 개구를 통해 연장되고 상기 하나 이상의 열 브리지의 나사형 개구로 스크류되는 패스너를 사용하여 상기 열 제어 플레이트에 부착되며,
    상기 하나 이상의 열 브리지 각각은, 상기 패스너가 상기 반도체 기판 프로세싱 장치에서 대기 압력에 노출되도록, 상기 열 제어 플레이트와 상기 최상부 플레이트 사이에 진공 실을 제공하는 하나 이상의 오-링을 포함하는, 샤워헤드 전극 어셈블리.
  27. 제 13 항에 있어서,
    상기 최상부 플레이트는, 상기 최상부 플레이트의 개구를 통해 연장되는 패스너를 사용하여 상기 열 제어 플레이트에 부착되며,
    상기 개구는, 상기 최상부 플레이트와 상기 열 제어 플레이트 사이의 차분 열 팽창을 수용하기 위해 상기 패스너의 직경보다 더 큰 직경을 갖는, 샤워헤드 전극 어셈블리.
  28. 제 13 항에 있어서,
    상기 하나 이상의 열 브리지는, 상기 열 제어 플레이트 상에 2 개의 이격된 환형의 돌출부를 포함하고,
    상기 최상부 플레이트는, 상기 최상부 플레이트의 오버사이징된 개구를 통해 상기 하나 이상의 열 브리지 각각으로 연장되는 패스너를 사용하여 상기 열 제어 플레이트에 부착되며,
    상기 열 제어 플레이트는, 상기 돌출부를 통해 측방향-연장되는 가스 통로, 및 상기 열 브리지의 내부 및 외부에 측방향으로 위치된 상기 열 제어 플레이트의 대향 면들 사이의 축방향-연장되는 가스 통로를 포함하는, 샤워헤드 전극 어셈블리.
  29. 반도체 기판 프로세싱 챔버에서 반도체 기판을 프로세싱하는 방법으로서,
    a) 반도체 기판 프로세싱 장치의 플라즈마 챔버내의 기판 지지체 상에 기판을 배치시키는 단계로서, 상기 기판 지지체는 하부 전극을 포함하는, 상기 배치 단계;
    b) 제 13 항에 기재된 샤워헤드 전극 어셈블리를 사용하여 상기 플라즈마 챔버에 프로세스 가스를 공급하는 단계;
    c) 상기 샤워헤드 전극 어셈블리와 상기 기판 사이의 상기 플라즈마 챔버에서 상기 프로세스 가스로부터 플라즈마를 발생시키는 단계;
    d) 상기 플라즈마를 사용하여 상기 기판을 프로세싱하는 단계;
    e) 상기 플라즈마의 발생을 종료시키는 단계; 및
    f) 상기 플라즈마 챔버로부터 상기 기판을 제거하는 단계를 포함하는, 반도체 기판의 프로세싱 방법.
  30. 제 29 항에 있어서,
    상기 샤워헤드 전극 어셈블리는 가열기를 더 포함하며,
    상기 반도체 기판의 프로세싱 방법은 원하는 온도로 상기 샤워헤드 전극을 유지하기 위해, 상기 샤워헤드 전극에 열을 가하도록 e) 단계 이후에 상기 가열기를 활성화시키는 단계를 더 포함하는, 반도체 기판의 프로세싱 방법.
  31. 제 30 항에 있어서,
    a) 단계 내지 f) 단계 동안에, 상기 샤워헤드 전극에 열을 가하도록 상기 가열기를 활성화시키는 단계를 더 포함하는, 반도체 기판의 프로세싱 방법.
  32. 제 1 항에 있어서,
    상기 열 제어 플레이트는 금속성 재료의 단일 피스인, 열 제어 플레이트.
KR1020067014646A 2003-12-23 2004-12-13 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 KR101345904B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/743,062 2003-12-23
US10/743,062 US7645341B2 (en) 2003-12-23 2003-12-23 Showerhead electrode assembly for plasma processing apparatuses
PCT/US2004/042100 WO2005065186A2 (en) 2003-12-23 2004-12-13 Showerhead electrode assembly for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
KR20060129279A KR20060129279A (ko) 2006-12-15
KR101345904B1 true KR101345904B1 (ko) 2013-12-31

Family

ID=34678564

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067014646A KR101345904B1 (ko) 2003-12-23 2004-12-13 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리

Country Status (7)

Country Link
US (2) US7645341B2 (ko)
JP (2) JP4870575B2 (ko)
KR (1) KR101345904B1 (ko)
CN (1) CN1977068A (ko)
BR (1) BRPI0417991A (ko)
TW (1) TWI390624B (ko)
WO (1) WO2005065186A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170058638A (ko) * 2015-11-19 2017-05-29 주식회사 원익아이피에스 기판처리장치의 제어방법 및 제어장치
KR20190121258A (ko) * 2018-04-17 2019-10-25 어플라이드 머티어리얼스, 인코포레이티드 가열식 세라믹 페이스플레이트

Families Citing this family (423)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1523761A1 (en) * 2002-06-21 2005-04-20 Applied Materials, Inc. Transfer chamber for vacuum processing system
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
TWI298895B (en) * 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
JP2006179770A (ja) * 2004-12-24 2006-07-06 Watanabe Shoko:Kk 基板表面処理装置
DE102005003984A1 (de) * 2005-01-28 2006-08-03 Aixtron Ag Gaseinlassorgan für einen CVD-Reaktor
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4904202B2 (ja) * 2006-05-22 2012-03-28 ジーイーエヌ カンパニー リミッテッド プラズマ反応器
KR100744639B1 (ko) * 2006-07-31 2007-08-07 주식회사 월덱스 실리콘 단일재질의 플라즈마 챔버 캐소드 및 아웃링
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP4826483B2 (ja) * 2007-01-19 2011-11-30 東京エレクトロン株式会社 プラズマ処理装置
EP1970468B1 (de) * 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
KR101519684B1 (ko) 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US7736441B2 (en) * 2007-10-09 2010-06-15 Lam Research Corporation Cleaning fixtures and methods of cleaning electrode assembly plenums
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
KR101173645B1 (ko) * 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
CN101861639B (zh) * 2008-01-15 2013-07-17 第一太阳能有限公司 在基底上沉积材料的系统和方法
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
CN104357807B (zh) * 2008-03-26 2019-06-28 Oci有限公司 在化学气相沉积反应器中用于配气的系统和方法
WO2009120859A1 (en) * 2008-03-26 2009-10-01 Gt Solar, Inc. Gold-coated polysilicon reactor system and method
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
EP2321444B1 (en) * 2008-08-11 2013-07-24 Veeco Instruments Inc. Electrical contacts for use with vacuum deposition sources
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP5182136B2 (ja) * 2009-02-12 2013-04-10 三菱マテリアル株式会社 プラズマ処理装置用電極板構成体及びプラズマ処理装置
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
JP4786731B2 (ja) * 2009-06-12 2011-10-05 シャープ株式会社 プラズマcvd装置
JP5212275B2 (ja) * 2009-07-02 2013-06-19 三菱マテリアル株式会社 プラズマ処理装置用電極板
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN102473612B (zh) * 2009-08-10 2015-06-10 三菱电机株式会社 等离子体cvd装置、等离子体电极以及半导体膜的制造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
CN102918180B (zh) 2010-05-21 2014-12-17 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8545639B2 (en) 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
CN102446738A (zh) * 2011-11-29 2012-05-09 上海华力微电子有限公司 一种等离子体刻蚀装置
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9484190B2 (en) 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9275840B2 (en) 2014-01-25 2016-03-01 Yuri Glukhoy Method for providing uniform distribution of plasma density in a plasma treatment apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101698433B1 (ko) * 2015-04-30 2017-01-20 주식회사 에이씨엔 기상식각 및 세정을 위한 플라즈마 장치
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180366354A1 (en) * 2017-06-19 2018-12-20 Applied Materials, Inc. In-situ semiconductor processing chamber temperature apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11598003B2 (en) 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US20190276932A1 (en) * 2018-03-08 2019-09-12 Shimadzu Corporation Film forming apparatus and film forming method
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7195307B2 (ja) * 2018-05-02 2022-12-23 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JPWO2019235282A1 (ja) * 2018-06-07 2021-06-17 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) * 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) * 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7282646B2 (ja) 2019-09-26 2023-05-29 株式会社アルバック 真空処理装置
US11881384B2 (en) 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
US11564292B2 (en) 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN113628949A (zh) * 2020-05-09 2021-11-09 长鑫存储技术有限公司 控温装置及其控制方法、等离子设备
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
CN112720119B (zh) * 2020-12-19 2021-11-30 华中科技大学 一种晶圆快速定位装置及方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220095677A (ko) * 2020-12-30 2022-07-07 세메스 주식회사 온도 측정 유닛을 포함하는 공정 챔버 및 온도 측정 유닛을 포함하는 기판 처리 장치
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11851758B2 (en) * 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5766364A (en) * 1996-07-17 1998-06-16 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6194037B1 (en) * 1995-12-28 2001-02-27 Kokusai Electric Co., Ltd. Method of plasma processing a substrate placed on a substrate table

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3448352A (en) * 1966-07-26 1969-06-03 Westinghouse Electric Corp Multiple electrical contact assembly for compression bonded electrical devices
JPH01160864A (ja) * 1987-12-16 1989-06-23 Ibiden Co Ltd 炭素・黒鉛材料
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5977552A (en) * 1995-11-24 1999-11-02 Applied Materials, Inc. Boron ion sources for ion implantation apparatus
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
JPH10172719A (ja) * 1996-12-04 1998-06-26 Kokusai Electric Co Ltd ヒータ制御装置
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5846459A (en) * 1997-06-26 1998-12-08 Ucar Carbon Technology Corporation Method of forming a flexible graphite sheet with decreased anisotropy
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6136128A (en) * 1998-06-23 2000-10-24 Amerasia International Technology, Inc. Method of making an adhesive preform lid for electronic devices
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6280584B1 (en) * 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6206971B1 (en) * 1999-03-29 2001-03-27 Applied Materials, Inc. Integrated temperature controlled exhaust and cold trap assembly
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP2000286267A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6433317B1 (en) * 2000-04-07 2002-08-13 Watlow Polymer Technologies Molded assembly with heating element captured therein
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6363624B1 (en) * 2000-11-21 2002-04-02 Applied Materials, Inc. Apparatus for cleaning a semiconductor process chamber
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
WO2002089532A1 (en) * 2001-04-26 2002-11-07 Phifer Smith Corporation A method and apparatus for heating a gas-solvent solution
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194037B1 (en) * 1995-12-28 2001-02-27 Kokusai Electric Co., Ltd. Method of plasma processing a substrate placed on a substrate table
US5766364A (en) * 1996-07-17 1998-06-16 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170058638A (ko) * 2015-11-19 2017-05-29 주식회사 원익아이피에스 기판처리장치의 제어방법 및 제어장치
KR102070450B1 (ko) * 2015-11-19 2020-01-28 주식회사 원익아이피에스 기판처리장치의 제어방법 및 제어장치
KR20190121258A (ko) * 2018-04-17 2019-10-25 어플라이드 머티어리얼스, 인코포레이티드 가열식 세라믹 페이스플레이트
KR102296914B1 (ko) 2018-04-17 2021-08-31 어플라이드 머티어리얼스, 인코포레이티드 가열식 세라믹 페이스플레이트

Also Published As

Publication number Publication date
TWI390624B (zh) 2013-03-21
US20050133160A1 (en) 2005-06-23
US8080107B2 (en) 2011-12-20
BRPI0417991A (pt) 2007-04-27
US7645341B2 (en) 2010-01-12
WO2005065186A3 (en) 2006-05-18
JP2007522647A (ja) 2007-08-09
JP5497705B2 (ja) 2014-05-21
US20100065214A1 (en) 2010-03-18
CN1977068A (zh) 2007-06-06
JP4870575B2 (ja) 2012-02-08
WO2005065186A2 (en) 2005-07-21
TW200524038A (en) 2005-07-16
JP2011254097A (ja) 2011-12-15
KR20060129279A (ko) 2006-12-15

Similar Documents

Publication Publication Date Title
KR101345904B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
US7862682B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
KR101166740B1 (ko) 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치
US9899228B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
KR101280184B1 (ko) 플라즈마 프로세싱을 위해 rf 전력 및 공정 가스를 공급하는 가스 분배 부재
KR101570633B1 (ko) 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리
US10332729B2 (en) Compression member for use in showerhead electrode assembly

Legal Events

Date Code Title Description
G170 Re-publication after modification of scope of protection [patent]
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161208

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171208

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181207

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191212

Year of fee payment: 7