JP2007522647A - プラズマ処理装置用のシャワーヘッド電極アセンブリ - Google Patents

プラズマ処理装置用のシャワーヘッド電極アセンブリ Download PDF

Info

Publication number
JP2007522647A
JP2007522647A JP2006547131A JP2006547131A JP2007522647A JP 2007522647 A JP2007522647 A JP 2007522647A JP 2006547131 A JP2006547131 A JP 2006547131A JP 2006547131 A JP2006547131 A JP 2006547131A JP 2007522647 A JP2007522647 A JP 2007522647A
Authority
JP
Japan
Prior art keywords
plate
showerhead electrode
thermal control
thermal
electrode assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006547131A
Other languages
English (en)
Other versions
JP4870575B2 (ja
JP2007522647A5 (ja
Inventor
ウィリアム, エス. ケネディ,
デイビット イー. ジャコブ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2007522647A publication Critical patent/JP2007522647A/ja
Publication of JP2007522647A5 publication Critical patent/JP2007522647A5/ja
Application granted granted Critical
Publication of JP4870575B2 publication Critical patent/JP4870575B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Materials For Medical Uses (AREA)

Abstract

プラズマ処理装置のシャワーヘッド電極アセンブリは、シャワーヘッド電極に取り付けられた熱制御板、および熱制御板に取り付けられた上板を備える。少なくとも1つの熱ブリッジが、熱制御板の対向する両表面と上板との間に提供されて、熱制御板と上板との間の電気的および熱的な伝導を可能にする。熱ブリッジと上板との間の潤滑材料は、天板と熱制御板との間の熱膨張の違いに起因する、対向する金属表面の摩損を最小限にする。熱制御板によって支持されたヒータは、温度制御された天板と連携して、シャワーヘッド電極を所望の温度に維持する。

Description

本発明は、プラズマ処理装置用のシャワーヘッド電極アセンブリに関する。
プラズマ処理装置は、エッチング、物理蒸着(PVD)、化学蒸着(CVD)、イオン注入、およびレジスト除去を含む各技法によって基板を処理するのに使用される。プラズマ処理で使用されるプラズマ処理装置の1つのタイプでは、上部電極および下部電極を含む反応室を備える。電界が両電極間で確立されて、プロセス・ガスをプラズマ状態に励起して反応室内の基板を処理する。
米国特許第6,073,577号 米国特許第5,534,751号
半導体基板処理装置のシャワーヘッド電極アセンブリ、および半導体基板処理室内のシャワーヘッド電極を支持するための熱制御板が提供される。
半導体基板処理室内のシャワーヘッド電極を支持するための熱制御板の好ましい一実施形態は、温度制御された上板に取外し自在に取り付けることができる金属外側部分、ならびにシャワーヘッド電極および上板に取外し自在に取り付けることができる金属内側部分を備える。熱制御板の内側部分は、上板とシャワーヘッド電極との間の熱的および電気的な経路を提供する。
プラズマ処理装置用のシャワーヘッド電極アセンブリの好ましい一実施形態は、上板、シャワーヘッド電極、および熱制御板を備える。熱制御板は、熱制御板の中心部分が上板に対して相対的に移動可能になるように、シャワーヘッド電極および上板に取り付けられている。少なくとも1つの熱ブリッジが、熱制御板の中心部分と上板との間に設けられる。この熱ブリッジは、シャワーヘッド電極と上板との間の熱的および電気的な経路を提供する。
熱ブリッジは、熱制御板の対向する両表面と上板との間で、滑りを可能にし、ならびに熱的および電気的な伝導をもたらすために、潤滑材料を備えることが好ましい。
他の好ましい一実施形態は、半導体基板処理室で半導体基板を処理する方法を提供し、その方法は、(a)半導体基板処理装置のプラズマ室内で、下部電極を備える基板支持具上に基板を載置すること、(b)好ましい一実施形態によるシャワーヘッド電極アセンブリを有するプラズマ室にプロセス・ガスを供給すること、(c)シャワーヘッド電極アセンブリと基板との間で、プラズマ室内のプロセス・ガスからプラズマを生成すること、(d)基板をプラズマで処理すること、(e)プラズマの生成を終了すること、および(f)プラズマ室から基板を取り出すことを含む。シャワーヘッド電極アセンブリは、ヒータを備えることが好ましい。他の好ましい一実施形態では、前記方法は、シャワーヘッド電極を所望の温度に維持するために(e)の後にヒータを作動させて熱をシャワーヘッド電極に加えること、および/または(a)から(f)の間、ヒータを作動させて熱をシャワーヘッド電極に加えることを含む。
図1は、半導体基板、たとえばシリコン・ウェーハが処理されるプラズマ処理装置用のシャワーヘッド電極アセンブリ10の好ましい一実施形態を示している。シャワーヘッド電極アセンブリ10(その半分だけが図1に示されている)は、上部電極20および上部電極20に固着された任意選択の裏当て部材40、熱制御板58、ならびに上板80を含むシャワーヘッド電極を備える。上板80は、プラズマ・エッチング室などのプラズマ処理装置の取外し可能な上端壁を形成することができる。
下部電極および任意選択の静電クランプ電極を含む基板支持具15(その一部分だけが図1に示されている)は、プラズマ処理装置の真空処理室内の上部電極20の下に配置される。プラズマ処理が施される基板16は、機械的または静電気的に、基板支持具15の上部支持表面17上にクランプされる。
シャワーヘッド電極の上部電極20は、内側電極部材22、および任意選択の外側電極部材24を備えることが好ましい。内側電極部材22は、円筒形の板(たとえば単結晶シリコン)であることが好ましい。この板が単結晶シリコンで作られている場合、内側電極部材22の直径は、たとえば12インチ(300mm)までの被処理ウェーハよりも、小さくても、同じでも、また大きくてもよい。この直径は、現在入手可能な単結晶シリコン材料の最大直径である。300mmウェーハを処理するために、外側電極部材24は、上部電極20の直径を約15インチから約17インチに拡大するように設けられる。外側電極部材24は、連続した部材(たとえば、リングのような多結晶シリコン部材)とすることもでき、分割部材(たとえば、単結晶シリコンのセグメントのように、リング構成に配置された2つ〜6つの別々のセグメント)とすることもできる。複数セグメントの外側電極部材24を備える上部電極20の各実施形態において、各セグメントは、基礎をなす結合剤をプラズマへの曝露から保護するために、互いにオーバーラップする端部を有することが好ましい。内側電極部材22は、プラズマ反応室内の上部電極20と下部電極15との間の空間にプロセス・ガスを注入するための複数のガス流路23を備えることが好ましい。
単結晶シリコンは、内側電極部材22および外側電極部材24のうちのプラズマに曝露される表面にとっては好ましい材料である。高純度な単結晶シリコンは、反応室内に望ましくない要素を最小量しか持ち込まないため、プラズマ処理中の基板の汚染を最小限に抑え、またプラズマ処理中にスムーズに摩耗し、それによりパーティクルを最小限に抑える。上部電極20のプラズマ曝露される表面に対して使用することができる代替の材料には、たとえばSiC、SiN、AlN、およびAlが含まれる。
好ましい一実施形態では、シャワーヘッド電極アセンブリ10は、直径が300mmの半導体ウェーハのような大きい基板を処理するのに十分な大きさのものである。300mmウェーハに対して、上端電極20は、少なくとも直径が300mmである。しかし、シャワーヘッド電極アセンブリは、他のウェーハ・サイズまたは非円形構成の基板を処理するようなサイズにすることができる。
裏当て部材40は、裏当て板42および裏当てリング44を含むことが好ましい。こうした各実施形態では、内側電極部材22は裏当て板42と同一の広がりを持ち、外側電極部材24は周囲の裏当てリング44と同一の広がりを持つ。しかし、裏当て板42は、内側電極部材および分割された外側電極部材を支持することができるように、単一の裏当て板を使用して内側電極部材を超えて延びることができる。内側電極部材22および外側電極部材24は、エラストマー性の結合剤のような結合剤によって裏当て部材40に取り付けられることが好ましい。ガス・フローをプラズマ処理室に供給するために、裏当て板42は、内側電極部材22内のガス流路23と位置が揃ったガス流路43を備える。ガス流路43は、通常は直径が約0.04インチであり、ガス流路23は、通常は直径が約0.025インチである。
裏当て板42および裏当てリング44は、プラズマ処理室内で半導体基板を処理するのに使用されるプロセス・ガスと化学的に互換性があり、その熱膨張係数が電極材料の熱膨張係数とほぼ合致し、かつ/または、電気的および熱的に伝導性を有する材料から作られることが好ましい。裏当て部材40を作るのに使用することができる好ましい材料には、それだけには限らないが、黒鉛およびSiCが含まれる。
熱応力に対応し、上部電極20と裏当て板42および裏当てリング44との間で熱および電気エネルギーを伝達する、熱的および電気的に伝導性を有するエラストマー結合剤を用いて、上部電極20を裏当て板42および裏当てリング44に取り付けることができる。電極アセンブリの各表面を接合するためのエラストマーの使用については、例えば、本願の権利者が所有する米国特許第6,073,577号に説明されており、参考としてその全体を本明細書に援用する。
裏当て板42および裏当てリング44は、適当な留め具を用いて熱制御板58に取り付けられることが好ましく、留め具は、ねじを切ったボルト、ねじ、または同様のものとすることができる。たとえば、ボルト(図示せず)は、熱制御板58内の穴に挿入することができ、裏当て部材40内のねじを切った開口部にねじ込むことができる。
図1および図2を参照すると、熱制御板58は、上面60を有する成形板(contoured plate)59を含む内側金属部分、ならびに第1の熱伝達表面62を有する第1の突起(projection)61および上面に第2の熱伝達表面64を有する第2の突起63を備える。他の好ましい実施形態では、熱制御板58には、2つを超える突起、たとえば3つまたは4つ以上の突起が含まれ得る。上板内の大きめの開口部(図示せず)を介して、第1の突起61の表面62内および第2の突起63の表面64内のねじを切った開口部65に延びる留め具を用いて、熱制御板58が上板80に取り付けられる(図2)。熱制御板58はまた、熱制御板58を裏当て板42に取外し自在に取り付けるための留め具を収容するために、ねじを切った開口部117を備える。上板80内の大きめの開口部は、留め具周りのクリアランスを提供し、その結果、熱制御板58は、上板に対する熱制御板の熱膨張における不一致に適応するために上板に対してスライドすることができる。
熱制御板58はまた、内側部分を外側部分に接続し、上板80の対向した表面に対向して保持される上面70を有するフランジ68を含むたわみ部分66を備える。第1の熱伝達表面62および第2の熱伝達表面64は、環状構成を有することが好ましい。第1の突起61および第2の突起63は、その高さが約0.25インチから約0.75インチであり、またその幅が約0.75インチから約1.25インチであることが好ましい。しかし、第1の突起61および/または第2の突起63は、非環状構成、たとえば弧状セグメント(arcuate segment)、多面体、円形、楕円形または他の構成を有することができる。
熱制御板58は、アルミニウム、アルミニウム合金、または同様のもののような金属材料から作られることが好ましい。熱制御板58は、アルミニウムまたはアルミニウム合金のような金属材料の機械加工してなる片であることが好ましい。上板80は、アルミニウムまたはアルミニウム合金から作られることが好ましい。上板80は、温度制御された流体、好ましくは液体が、上板を所望の温度に維持するために循環することができる1つまたは複数の流路88を備えることが好ましい。
処理室内で半導体基板を処理する間、第1の熱伝達表面62、第2の熱伝達表面64から、上面70を介する熱伝導を経て、熱が内側電極部材22および外側電極部材24ならびに裏当て板42および裏当てリング44から上板80の下面82に伝達される。すなわち、第1の突起61および第2の突起63はまた、内側電極部材22と外側電極部材24と裏当て板42と裏当てリング44との間の各熱ブリッジを上板80に提供する。熱制御板58をまたぐ離れた位置での、この強化された熱伝達により、上部電極20において放射状に実質上均一な温度分布を達成することができる。
シャワーヘッド電極アセンブリ10の動作時には、熱制御板58および上板80は、熱せられ熱膨張する。その結果、上板80および熱制御板58は、互いにスライドすることができる。このスライドにより、上板80および/または熱制御板58の表面(例えば、熱制御板58の中心部分の1つまたは複数の表面)は摩損することがあり、これらの表面は互いに接触し、アルミニウムパーティクルのようなパーティクルを接触面から発生させる。遊離したパーティクルは、反応室内の基板を汚染し、それにより歩留まりを低下させることがある。
上板80および/または熱制御板58の対向する表面の摩損を、潤滑性を有する材料を対向する両表面の間に置くことによって最小限に抑えることができることは確実である。好ましい一実施形態では、潤滑材料90の少なくとも1つの層が、熱制御板58の第1の熱伝達表面62および第2の熱伝達表面64と上板80の下面82との間に置かれる。
潤滑材料90は、第1の熱伝達表面62および第2の熱伝達表面64から上板80への十分な熱伝達および電気的な伝導をもたらすために十分な熱的および電気的な伝導性を有する。これらの特性を提供する好ましい材料は、オハイオ州クリーブランドのUCAR Carbon社から市販されている「グラフォイル(GRAFOIL)」のような弾性変形可能な黒鉛材料である。潤滑材料90は、約0.010インチから約0.030インチ、より好ましくは約0.015インチの好ましい厚さを有するガスケットであることが好ましい。潤滑材料90は、リング形状のガスケットであり、各ガスケットは、第1の熱表面62および第2の熱伝達表面64の各々の上に形成されたそれぞれの環状溝内に保持されることが好ましい。
潤滑材料90は、反応室内でのプラズマ曝露から保護されることが好ましい。好ましい一実施形態では、潤滑材料90は、真空封止、例えば熱制御板58の第1の熱伝達表面62および第2の熱伝達表面64内の相隔たる環状溝105内に保持される1対の任意選択のO−リング104の間に配置される。O−リング104は、プラズマ室内の真空環境から潤滑材料90を分離し、それによりプラズマ曝露から潤滑材料を保護する。第1の熱伝達表面62または第2の熱伝達表面64に沿って金属対金属の擦り接触がないように、第1の熱伝達表面62および第2の熱伝達表面64は、潤滑材料90により、上板80の下面82から十分な距離だけ間隔を置くことが好ましい。
熱制御板58は、上部電極20の温度を制御するために、温度制御された上板80との連携動作が可能な少なくとも1つのヒータを備えることが好ましい。例えば、好ましい一実施形態では、ヒータは熱制御板58の上面に設けられ、第1の突起61によって囲まれた第1のヒータ区域72、第1の突起61と第2の突起63との間の第2のヒータ区域74、および第2の突起63とたわみ部分66との間の第3のヒータ区域76を含む。ヒータ区域の数は変更することができる。例えば、他の実施形態では、ヒータには、単一のヒータ区域、2つのヒータ区域、または4つ以上のヒータ区域が含まれ得る。あるいは、ヒータは、熱制御板58の下端面に設けることができる。
ヒータは、ヒータの到達動作温度に耐えることができる高分子材料の対向した層間に配置された、抵抗加熱された材料を含む薄板(laminate)を備えることが好ましい。使用することができる例示的な高分子材料は、商標名カプトン(Kapton)(登録商標)で販売されているポリイミドであり、デュポン社から市販されている。あるいは、ヒータは、熱制御板内に埋め込まれた抵抗ヒータ(例えば、鋳造熱制御板内の加熱素子または熱制御板内に形成されたチャネル内に配置された加熱素子)とすることができる。ヒータの他の実施形態には、熱制御板の上面および/または下面に取り付けられた抵抗性加熱素子が含まれる。熱制御板の加熱は、伝導および/または放射を介して達成することができる。
ヒータ材料は、第1のヒータ区域72、第2のヒータ区域74、および第3のヒータ区域76を熱的に均一に加熱するいかなる適切なパターンを有することもできる。例えば、薄板ヒータは、ジグザグ、蛇行または、同心パターンなど、規則的または不規則的なパターンの抵抗性加熱線を有することができる。温度制御された上板80の動作と連携して、ヒータを用いて熱制御板58を加熱することにより、シャワーヘッド電極アセンブリ10の動作の間、上部電極20全体に亙って望ましい温度分布をもたらすことができる。
第1のヒータ区域72、第2のヒータ区域74、および第3のヒータ区域76に配置された各ヒータ・セクションは、いかなる適当な技法、例えば熱圧、接着剤、留め具、または同様のものを用いることによっても、熱制御板58に固着することができる。
好ましい一実施形態では、第1のヒータ区域72、第2のヒータ区域74、および第3のヒータ区域76は、電気コネクタ77を介して電気的に直列に相互接続される。好ましい一実施形態では、ヒータは、第1の位相の交流電流を受け取るように適合された第1の抵抗性加熱導体、第2の位相の交流電流を受け取るように適合された第2の抵抗性加熱導体、および第3の位相の交流電流を受け取るように適合された第3の抵抗性加熱導体を含む3つの回路を備え、第1、第2および第3の位相は、互いに120°位相がずれている。
図3に示すように、ヒータは、単一の電源110から電力を受け取ることができる。好ましい一実施形態では、電源110は、柱95のように、熱制御板58のフランジ68内の開口部93に収容され、円周上に間隔を置いて配置された3つの柱に電気的に接続される。柱95は、各々導電体97に接続され、導電体97は、フランジ68を介してブーツ(boot)79まで延び、第3のヒータ区域76に配置された3相ヒータのそれぞれの位相に電気的に接触する。第3のヒータ76の3つの位相は、接続77を介して第2のヒータの3つの対応する位相に電気的に接続され、第2のヒータの3つの位相は、接続77により第1のヒータの3つの位相に電気的に接続される。
熱制御板58は、第1のヒータ区域72の上のプレナム(plenum)から第2のヒータ区域74の上のプレナムへ、また第2のヒータ区域74の上のプレナムから第3のヒータ区域76の上のプレナムへ横方向にプロセス・ガス・フローを可能にするための、側面のガス流路75を備えることが好ましい。好ましい一実施形態では、複数のガス流路75は、第1の突起61および第2の突起63を介して延びる。第1のヒータ区域72、第2のヒータ区域74および第3のヒータ区域76を電気的に接続するために、電気コネクタ77がガス流路75を介して延びることができるように、ガス流路75の大きさが決められる。熱制御板と裏当て部材40との間の各プレナムに通じる開口部78を通過するガスが実質上均一な圧力分布になるために、ガス流路75は、プロセス・ガスが熱制御板58の上面全体にわたって分散できるのに十分な大きさであることが好ましい。
上部電極20は、電気的に接地することもでき、または、代替的に、好ましくは無線周波数(RF)の電流源によって給電することもできる。好ましい一実施形態では、プラズマ処理室内でプラズマを生成するために、上部電極20は接地され、1つまたは複数の周波数での電力が下部電極に加えられる。例えば、下部電極は、2つの独立して制御された無線周波数電源により、2MHzおよび27MHzの周波数で給電することができる。基板が処理された(例えば、半導体基板がプラズマ・エッチングされた)後、下部電極への電力供給は遮断されて、プラズマ生成を終了する。処理された基板は、プラズマ処理室から取り除かれ、別の基板がプラズマ処理のために基板支持具15の上に置かれる。好ましい一実施形態では、下部電極への電力が遮断されたとき、ヒータは作動されて、熱制御板58次いで上部電極20を加熱する。その結果、上部電極20の温度は、所望の最低温度を下回って下がらないようにすることが好ましい。基板がより均一に処理され、それによって歩留まりが改善されるように、上部電極20の温度は、連続した基板処理実行の間でほぼ一定の温度に維持されることが好ましい。電源110は、上部電極20の実際の温度および所望の温度に基づいて、所望のレベルおよび速度でヒータに電力を供給するように制御可能であることが好ましい。
シャワーヘッド電極アセンブリ10には、上部電極20の温度を監視するために、熱電対のような1つまたは複数の温度センサが含まれ得る。温度センサは、電源110からヒータへの電力供給を制御する制御装置によって監視されることが好ましい。温度センサによって提供されたデータが、上部電極20の温度が所定の温度を下回ることを示すとき、上部電極20を所定の温度以上に維持するために、電源110を制御装置によって作動させてヒータに電力を供給することができる。
基板のプラズマ処理中、すなわちプラズマがシャワーヘッド電極アセンブリ10と下部電極との間で生成されているとき、ヒータを作動させることもできる。例えば、プラズマを生成するのに相対的に低いレベルの印加電力を利用するプラズマ処理の操作中は、上部電極20の温度を所望の温度範囲内に維持するように、ヒータを作動させることができる。誘電体材料のエッチング工程のように、相対的に高いパワー・レベルを利用する他のプラズマ処理の操作中は、連続して実行する間、上部電極20の温度は通常十分に高いままであり、その結果、上部電極が最小温度を下回って温度低下しないようにヒータを作動させる必要はない。
図3に示した実施形態では、熱制御板58のたわみ部分66は、フランジ68にまで及ぶ円筒形の壁を備える。上板80およびフランジ68内でそれぞれ位置合せをされた開口部84、86に挿入される留め具(例えば、ねじを切ったボルト、ねじ、または同様のもの)などによって、フランジ68は上板80に取り付けられる(図1)。フランジ68は、環状構成を有することが好ましい。たわみ部分66は、上板80に対する熱制御板58の熱膨張および熱収縮に適応できる構成を有する。すなわち、たわみ部分66は、上板80と熱制御板58の各中心部分間の横方向および軸方向の動きに適応し、熱制御板58への関連する損傷を防止するように最適化された長さ対厚さの比を有することが好ましい。横方向の滑り運動時に、潤滑材料90は、熱制御板58の熱伝達表面62および64、ならびに上板80の下面82の摩損を防止する。たわみ部分66を設けることにより、フランジ68の上面70と上板80の下面82との間で潤滑材料を省略することができる。
熱制御板58は、上板80内の開口部84を介してフランジ68内に形成された開口部86に延びる適当な留め具を用いて、上板80に取外し可能なように取り付けられている。一実施形態では、シャワーヘッド電極アセンブリ10は、上板80の上側122に取り付けられているカバー板120を備える。これらの開口部内の留め具が処理装置内で真空圧力に置かれるように、カバー板120は、上板80内の開口部の上端部を密閉する。しかし、開口部86のまわりに真空封止を設けることによって(例えば、開口部86を含むセクションのまわりに、O−リング104を設けることができる)、カバー板を省略することができる。図2では、3つのO−リングは3つの真空封止されたセクションを提供し、それらの各々は6つの相隔たる開口部84を含む。
第1の突起61および第2の突起63が、熱制御板58と上板80との間の真空封止された区域を提供するためのO−リング104を各々備える熱制御板58の各実施形態では、ボルトの上端が封止されていない場合、上板80を熱制御板58に取り付ける留め具は、処理装置内で大気圧に曝されうる。
任意選択として、円周上に間隔を置いて配置された複数の位置合せピン106が、熱制御板58のフランジ68の上に設けられる。上板80に対して円周状および放射状に熱制御板58を位置合せするために、位置合せピン106は、上板80内の位置合せ開口部(図示せず)に合うような大きさに決められる。
上板80は、上板80と熱制御板58との間の1つまたは複数の開放空間(プレナム)にプロセス・ガスを導くための、1つまたは複数のガス流路を備えることが好ましい。例えば、プロセス・ガスは、第1のヒータの上部の制御プレナムのみに供給し、流路75を介して他のプレナムに分散することができる。プロセス・ガスは、上部プレナムから流路78を介して下部プレナムへ、次いで裏当て板42内のガス流路43を介して内側電極部材22内のガス流路23へと流れる。ガス流路78は、熱制御板58を介して所望の圧力降下が得られるような大きさに決められる。ガス流路78は、通常は直径が約0.3インチであってもよい。ガス流路78の数および配置は、プラズマ室に均一なガス分布を提供するために、上部電極20の上部および全体に亙って均一なガス圧力を達成するように選択されることが好ましい。ガス・フローの均一性を制御するために、任意選択として、シャワーヘッド電極アセンブリ10には、上部プレナムおよび/または下部プレナム内にバフル(baffles)が含まれ得る。
上板80の温度は、流路88を介して熱伝達流体(液体またはガス)を流すことによって制御されることが好ましい。シャワーヘッド電極アセンブリ10向けに、上板80は、電気的な接地ならびにヒート・シンクを提供することが好ましい。
図2に示すように、シャワーヘッド電極アセンブリ10の外側に設けることができるプラズマ閉込めアセンブリの制御棒を通すために、開口部114が熱制御板58のフランジ68内に設けられる。上下の調節が可能なプラズマ閉込めリング・アセンブリを備える適切なプラズマ閉込めアセンブリが、本願の権利者が所有する米国特許第5,534,751号に記載されており、参考としてその全体を本明細書に援用する。
本発明を、その具体的な各実施形態を参照しながら詳細に記載してきたが、添付の特許請求の範囲から逸脱することなく、様々な変更および修正を加え、均等物を利用することができることは、当業者には明白であろう。
プラズマ処理装置用のシャワーヘッド電極アセンブリおよび基板支持具の好ましい一実施形態の一部分を示す図である。 上板のないシャワーヘッド電極アセンブリの好ましい一実施形態の上面斜視図である。 シャワーヘッド電極アセンブリの電源とヒータとの間の例示的な電気的接続を示す図である。

Claims (31)

  1. 半導体基板処理室内のシャワーヘッド電極を支持するための熱制御板であって、
    温度制御された上板に取外し自在に取り付けることができるように適合された金属外側部分と、
    前記シャワーヘッド電極および前記上板に取外し自在に取り付けることができるように適合された金属内側部分とを備え、前記内側部分は、前記上板とシャワーヘッド電極との間に熱的および電気的な経路を設けることを特徴とする熱制御板。
  2. 前記外側部分は環状フランジを備え、前記内側部分は成形板(contoured plate)を備え、前記外側部分は、たわみ部分により前記内側部分に接続されることを特徴とする請求項1に記載の熱制御板。
  3. 前記成形板(contoured plate)は、上面と前記上面上の環状の第1の突起とを備え、前記第1の突起は、熱を前記上板に伝達するように適合された第1の熱伝達表面と、前記上板と前記第1の熱伝達表面との間の任意選択のO−リングを収容するように構成された任意選択の相隔たる環状溝とを備えることを特徴とする請求項2に記載の熱制御板。
  4. 前記成形板(contoured plate)は、前記第1の突起から放射状に間隔を置いて配置された環状の第2の突起を前記上面に備え、前記第2の突起は、熱を前記上板に伝達するように適合された第2の熱伝達表面と、前記上板と前記第2の熱伝達表面との間の任意選択のO−リングを収容するように構成された任意選択の相隔たる環状溝とを備えることを特徴とする請求項3に記載の熱制御板。
  5. 前記内側部分は、前記上板とシャワーヘッド電極との間の熱的および電気的な経路を提供する少なくとも1つの熱ブリッジを備えることを特徴とする請求項1に記載の熱制御板。
  6. 前記外側部分は、前記上板内の位置合せ開口部に合うように適合され、前記熱制御板と前記上板との間で円周方向および放射方向の位置合せを行うための位置合せピンと、前記上板の下端側を貫通して延びるボルトを受けるように適合されたねじを切った開口部と、前記上板と前記熱制御板との間の任意選択のO−リングを収容するように適合された任意選択の溝とを備えることを特徴とする請求項1に記載の熱制御板。
  7. 前記シャワーヘッド電極に熱を供給することができる少なくとも1つのヒータをさらに備えることを特徴とする請求項1に記載の熱制御板。
  8. 前記ヒータは、前記成形板の中心領域にある内部ヒータ部と、前記成形板(contoured plate)の前記中心領域の外部にある少なくとも1つの外部ヒータ部とを備え、前記内部ヒータ部および外部ヒータ部は、少なくとも1つの電気コネクタによって相互接続されることを特徴とする請求項7に記載の熱制御板。
  9. 前記ヒータは、誘電体層間に抵抗性加熱材料を含む薄板(laminate)を備えることを特徴とする請求項7に記載の熱制御板。
  10. 前記ヒータは、3相ヒータを備えることを特徴とする請求項7に記載の熱制御板。
  11. 前記ヒータは、第1の位相の交流電流を受け取るように適合された第1の抵抗性加熱導体と、第2の位相の交流電流を受け取るように適合された第2の抵抗性加熱導体と、第3の位相の交流電流を受け取るように適合された第3の抵抗性加熱導体とを含む3つの回路を備え、前記第1、第2および第3の位相は、互いに120°位相がずれていることを特徴とする請求項7に記載の熱制御板。
  12. 前記内側部分の両側の間に延びるガス流路をさらに備えることを特徴とする請求項1に記載の熱制御板。
  13. 半導体基板処理装置のシャワーヘッド電極アセンブリであって、
    上板と、
    シャワーヘッド電極と、
    その中心部分が前記上板に対して移動可能であるように、前記シャワーヘッド電極および前記上板に取り付けられた熱制御板と、
    前記熱制御板の前記中心部分と前記上板との間の少なくとも1つの熱ブリッジとを備え、前記熱ブリッジは、前記シャワーヘッド電極と前記上板との間に熱的および電気的な経路を提供することを特徴とするシャワーヘッド電極アセンブリ。
  14. 少なくとも2つの横方向に相隔たる熱ブリッジを備えることを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  15. 前記熱ブリッジの各々は、前記熱制御板と前記上板との間に熱的および電気的な伝導性をもたらす潤滑材料の層を備え、前記潤滑材料は、任意選択として少なくとも1つのO−リングを備える真空封止内に配置されることを特徴とする請求項14に記載のシャワーヘッド電極アセンブリ。
  16. 前記潤滑材料の層の各々は、環状構成と約0.75インチから約1.25インチまでの幅とを有することを特徴とする請求項15に記載のシャワーヘッド電極アセンブリ。
  17. 前記熱制御板を加熱するように適合されたヒータをさらに備えることを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  18. 前記ヒータは、3相ヒータであることを特徴とする請求項17に記載のシャワーヘッド電極アセンブリ。
  19. 前記ヒータは、誘電体材料の対向する層の間に抵抗性加熱材料を含む薄板(laminate)を備えることを特徴とする請求項17に記載のシャワーヘッド電極アセンブリ。
  20. 前記上板は、前記上板の温度を制御するために熱伝達流体が流れる少なくとも1つの流路を備えることを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  21. 前記熱制御板は、互いに異なる熱膨張に適応し、前記上板と前記熱制御板との間に熱的および電気的な伝導をもたらすように構成されたたわみ部分を備えることを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  22. 前記シャワーヘッド電極は、エラストマー・ボンドによりシリコン板の上面に接着された裏当て板を備えることを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  23. 前記裏当て板は、黒鉛裏当て板であることを特徴とする請求項22に記載のシャワーヘッド電極アセンブリ。
  24. 前記熱制御板は、アルミニウムまたはアルミニウム合金の機械加工してなる片であることを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  25. 前記上板は、前記上板内の開口部を介して延びる留め具を用いて前記熱制御板に取り付けられ、任意選択として前記上板の上側に取り付けられたカバー板を備え、前記留め具が前記処理装置内の真空圧力に曝されるように前記開口部を封止することを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  26. 前記上板は、前記上板内の開口部を介して延び、前記少なくとも1つの熱ブリッジ内のねじを切った開口部にねじ込まれる留め具を用いて前記熱制御板に取り付けられ、前記熱ブリッジの各々は、前記留め具が前記処理装置内の大気圧に曝されるように前記熱制御板と前記上板との間を真空封止する少なくとも1つのO−リングを備えることを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  27. 前記上板は、前記上板内の開口部を介して延びる留め具を用いて前記熱制御板に取り付けられ、前記開口部は、前記上板と前記熱制御板との間の互いに異なる熱膨張に適応するために、前記留め具の直径よりも大きい直径を有することを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  28. 前記少なくとも1つの熱ブリッジは、前記熱制御板の上に2つの相隔たる環状突起を備え、前記上板は、前記上板内の開口部を介して前記熱ブリッジの各々に延びる留め具を用いて前記熱制御板に取り付けられ、前記熱制御板は、前記突起を介して横方向に延びるガス流路と、前記各熱ブリッジの内外の横方向に配置された前記熱制御板の対向する両表面の間で軸方向に延びるガス流路とを備えることを特徴とする請求項13に記載のシャワーヘッド電極アセンブリ。
  29. 半導体基板処理室内の半導体基板を処理する方法であって、
    a)半導体基板処理装置のプラズマ室内の、下部電極を備える基板支持具上に基板を置く工程と、
    b)請求項13に記載のシャワーヘッド電極アセンブリを用いて、プロセス・ガスを前記プラズマ室に供給する工程と、
    c)前記シャワーヘッド電極アセンブリと前記基板との間で、前記プラズマ室内の前記プロセス・ガスからプラズマを生成する工程と、
    d)前記プラズマを用いて前記基板を処理する工程と、
    e)前記プラズマの前記生成を終了する工程と、
    f)前記基板を前記プラズマ室から取り出す工程とを含むことを特徴とする方法。
  30. 前記シャワーヘッド電極アセンブリがヒータをさらに備え、前記シャワーヘッド電極を所望の温度に維持するように前記シャワーヘッド電極に熱を加えるために、e)の後に前記ヒータを作動させる工程をさらに含むことを特徴とする請求項29に記載の方法。
  31. a)からf)の間、前記シャワーヘッド電極に熱を加えるために前記ヒータを作動させる工程をさらに含むことを特徴とする請求項30に記載の方法。
JP2006547131A 2003-12-23 2004-12-13 プラズマ処理装置用のシャワーヘッド電極アセンブリ Active JP4870575B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/743,062 US7645341B2 (en) 2003-12-23 2003-12-23 Showerhead electrode assembly for plasma processing apparatuses
US10/743,062 2003-12-23
PCT/US2004/042100 WO2005065186A2 (en) 2003-12-23 2004-12-13 Showerhead electrode assembly for plasma processing apparatuses

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011172358A Division JP5497705B2 (ja) 2003-12-23 2011-08-05 熱制御板

Publications (3)

Publication Number Publication Date
JP2007522647A true JP2007522647A (ja) 2007-08-09
JP2007522647A5 JP2007522647A5 (ja) 2008-02-14
JP4870575B2 JP4870575B2 (ja) 2012-02-08

Family

ID=34678564

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006547131A Active JP4870575B2 (ja) 2003-12-23 2004-12-13 プラズマ処理装置用のシャワーヘッド電極アセンブリ
JP2011172358A Active JP5497705B2 (ja) 2003-12-23 2011-08-05 熱制御板

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011172358A Active JP5497705B2 (ja) 2003-12-23 2011-08-05 熱制御板

Country Status (7)

Country Link
US (2) US7645341B2 (ja)
JP (2) JP4870575B2 (ja)
KR (1) KR101345904B1 (ja)
CN (1) CN1977068A (ja)
BR (1) BRPI0417991A (ja)
TW (1) TWI390624B (ja)
WO (1) WO2005065186A2 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010507231A (ja) * 2006-10-16 2010-03-04 ラム リサーチ コーポレーション 粒子を低減させる機能を持つ上部電極裏当て部材
JP2010186876A (ja) * 2009-02-12 2010-08-26 Mitsubishi Materials Corp プラズマ処理装置用電極板構成体及びプラズマ処理装置
WO2010143327A1 (ja) * 2009-06-12 2010-12-16 シャープ株式会社 プラズマcvd装置
JP2010541239A (ja) * 2007-09-25 2010-12-24 ラム リサーチ コーポレーション プラズマ処理装置のためのシャワーヘッド電極アセンブリ用温度制御モジュール
JP2011014720A (ja) * 2009-07-02 2011-01-20 Mitsubishi Materials Corp プラズマ処理装置用電極板
JP2011508422A (ja) * 2007-12-19 2011-03-10 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
JP2011521472A (ja) * 2008-07-07 2011-07-21 ラム リサーチ コーポレーション クランプされた一体的なシャワーヘッド電極
JP2011523229A (ja) * 2008-06-09 2011-08-04 ラム リサーチ コーポレーション プラズマ処理装置のためのシャワーヘッド電極アセンブリ
JP2011527520A (ja) * 2008-07-07 2011-10-27 ラム リサーチ コーポレーション クランプ式シャワーヘッド電極組立体
JP2012500471A (ja) * 2008-08-15 2012-01-05 ラム リサーチ コーポレーション プラズマ処理装置のための複合シャワーヘッド電極アセンブリ
JP2012253347A (ja) * 2011-05-31 2012-12-20 Semes Co Ltd 基板処理装置
JP2016004998A (ja) * 2014-06-17 2016-01-12 ビーコ インストゥルメンツ インコーポレイテッド 化学蒸着のための回転ディスクリアクタのためのガスフローフランジ
WO2019235282A1 (ja) * 2018-06-07 2019-12-12 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
JP2021052139A (ja) * 2019-09-26 2021-04-01 株式会社アルバック 真空処理装置

Families Citing this family (430)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100682209B1 (ko) * 2002-06-21 2007-02-12 어플라이드 머티어리얼스, 인코포레이티드 진공 처리 시스템용 전달 챔버
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20060054280A1 (en) * 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN103199039B (zh) * 2004-06-02 2016-01-13 应用材料公司 电子装置制造室及其形成方法
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
JP2006179770A (ja) * 2004-12-24 2006-07-06 Watanabe Shoko:Kk 基板表面処理装置
DE102005003984A1 (de) * 2005-01-28 2006-08-03 Aixtron Ag Gaseinlassorgan für einen CVD-Reaktor
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
CN104821269B (zh) * 2006-05-22 2017-05-10 吉恩株式会社 感应耦合等离子体反应器
KR100744639B1 (ko) * 2006-07-31 2007-08-07 주식회사 월덱스 실리콘 단일재질의 플라즈마 챔버 캐소드 및 아웃링
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP4826483B2 (ja) * 2007-01-19 2011-11-30 東京エレクトロン株式会社 プラズマ処理装置
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US7736441B2 (en) * 2007-10-09 2010-06-15 Lam Research Corporation Cleaning fixtures and methods of cleaning electrode assembly plenums
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8083855B2 (en) * 2007-10-31 2011-12-27 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
KR101173645B1 (ko) * 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
MY163723A (en) * 2008-01-15 2017-10-13 First Solar Inc System and method for depositing a material on a substrate
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
EP2271587A1 (en) * 2008-03-26 2011-01-12 GT Solar Incorporated Gold-coated polysilicon reactor system and method
KR101623458B1 (ko) * 2008-03-26 2016-05-23 지티에이티 코포레이션 화학 증착 반응기의 가스 분배 시스템 및 방법
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8328561B2 (en) * 2008-08-11 2012-12-11 Veeco Instruments Inc. Electrical contacts for use with vacuum deposition sources
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9714465B2 (en) * 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
JP5398837B2 (ja) * 2009-08-10 2014-01-29 三菱電機株式会社 プラズマcvd装置、プラズマ電極および半導体膜の製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9068262B2 (en) 2010-05-21 2015-06-30 Applied Materials, Inc. Tightly fitted ceramic insulator on large area electrode
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8545639B2 (en) 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
CN102446738A (zh) * 2011-11-29 2012-05-09 上海华力微电子有限公司 一种等离子体刻蚀装置
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9275840B2 (en) 2014-01-25 2016-03-01 Yuri Glukhoy Method for providing uniform distribution of plasma density in a plasma treatment apparatus
US9484190B2 (en) 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101698433B1 (ko) * 2015-04-30 2017-01-20 주식회사 에이씨엔 기상식각 및 세정을 위한 플라즈마 장치
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR102070450B1 (ko) * 2015-11-19 2020-01-28 주식회사 원익아이피에스 기판처리장치의 제어방법 및 제어장치
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180366354A1 (en) * 2017-06-19 2018-12-20 Applied Materials, Inc. In-situ semiconductor processing chamber temperature apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11598003B2 (en) 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US20190276932A1 (en) * 2018-03-08 2019-09-12 Shimadzu Corporation Film forming apparatus and film forming method
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US11621151B2 (en) * 2018-05-02 2023-04-04 Tokyo Electron Limited Upper electrode and plasma processing apparatus
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) * 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) * 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
US11564292B2 (en) 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN113628949A (zh) * 2020-05-09 2021-11-09 长鑫存储技术有限公司 控温装置及其控制方法、等离子设备
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
CN112720119B (zh) * 2020-12-19 2021-11-30 华中科技大学 一种晶圆快速定位装置及方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220095677A (ko) * 2020-12-30 2022-07-07 세메스 주식회사 온도 측정 유닛을 포함하는 공정 챔버 및 온도 측정 유닛을 포함하는 기판 처리 장치
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11851758B2 (en) 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20240124980A1 (en) * 2022-10-12 2024-04-18 Applied Materials, Inc. Bimetallic faceplate for substrate processing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1030185A (ja) * 1996-07-17 1998-02-03 Matsushita Electric Ind Co Ltd プラズマ処理装置
JPH10172719A (ja) * 1996-12-04 1998-06-26 Kokusai Electric Co Ltd ヒータ制御装置
JP2001284271A (ja) * 2000-01-20 2001-10-12 Applied Materials Inc プラズマチャンバ用の可撓的に吊り下げられたガス分配マニホールド
JP2002518862A (ja) * 1998-06-23 2002-06-25 アメラシア インターナショナル テクノロジー,インコーポレイテッド 電子素子の接着剤プリフォーム蓋を作成する方法
WO2003015133A2 (en) * 2001-08-08 2003-02-20 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3448352A (en) * 1966-07-26 1969-06-03 Westinghouse Electric Corp Multiple electrical contact assembly for compression bonded electrical devices
JPH01160864A (ja) * 1987-12-16 1989-06-23 Ibiden Co Ltd 炭素・黒鉛材料
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5977552A (en) * 1995-11-24 1999-11-02 Applied Materials, Inc. Boron ion sources for ion implantation apparatus
KR100267418B1 (ko) * 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5846459A (en) * 1997-06-26 1998-12-08 Ucar Carbon Technology Corporation Method of forming a flexible graphite sheet with decreased anisotropy
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6280584B1 (en) * 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6206971B1 (en) * 1999-03-29 2001-03-27 Applied Materials, Inc. Integrated temperature controlled exhaust and cold trap assembly
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP2000286267A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6433317B1 (en) * 2000-04-07 2002-08-13 Watlow Polymer Technologies Molded assembly with heating element captured therein
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6363624B1 (en) * 2000-11-21 2002-04-02 Applied Materials, Inc. Apparatus for cleaning a semiconductor process chamber
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6674054B2 (en) * 2001-04-26 2004-01-06 Phifer-Smith Corporation Method and apparatus for heating a gas-solvent solution
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8083855B2 (en) * 2007-10-31 2011-12-27 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
CN101903979B (zh) * 2007-12-19 2012-02-01 朗姆研究公司 组合喷淋头电极总成、连接其各部件的方法及衬底处理方法
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1030185A (ja) * 1996-07-17 1998-02-03 Matsushita Electric Ind Co Ltd プラズマ処理装置
JPH10172719A (ja) * 1996-12-04 1998-06-26 Kokusai Electric Co Ltd ヒータ制御装置
JP2002518862A (ja) * 1998-06-23 2002-06-25 アメラシア インターナショナル テクノロジー,インコーポレイテッド 電子素子の接着剤プリフォーム蓋を作成する方法
JP2001284271A (ja) * 2000-01-20 2001-10-12 Applied Materials Inc プラズマチャンバ用の可撓的に吊り下げられたガス分配マニホールド
WO2003015133A2 (en) * 2001-08-08 2003-02-20 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010507231A (ja) * 2006-10-16 2010-03-04 ラム リサーチ コーポレーション 粒子を低減させる機能を持つ上部電極裏当て部材
KR101519684B1 (ko) 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
JP2010541239A (ja) * 2007-09-25 2010-12-24 ラム リサーチ コーポレーション プラズマ処理装置のためのシャワーヘッド電極アセンブリ用温度制御モジュール
JP2011508422A (ja) * 2007-12-19 2011-03-10 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
JP2011523229A (ja) * 2008-06-09 2011-08-04 ラム リサーチ コーポレーション プラズマ処理装置のためのシャワーヘッド電極アセンブリ
JP2011521472A (ja) * 2008-07-07 2011-07-21 ラム リサーチ コーポレーション クランプされた一体的なシャワーヘッド電極
JP2011527520A (ja) * 2008-07-07 2011-10-27 ラム リサーチ コーポレーション クランプ式シャワーヘッド電極組立体
JP2012500471A (ja) * 2008-08-15 2012-01-05 ラム リサーチ コーポレーション プラズマ処理装置のための複合シャワーヘッド電極アセンブリ
JP2010186876A (ja) * 2009-02-12 2010-08-26 Mitsubishi Materials Corp プラズマ処理装置用電極板構成体及びプラズマ処理装置
JP2010285667A (ja) * 2009-06-12 2010-12-24 Sharp Corp プラズマcvd装置
WO2010143327A1 (ja) * 2009-06-12 2010-12-16 シャープ株式会社 プラズマcvd装置
JP2011014720A (ja) * 2009-07-02 2011-01-20 Mitsubishi Materials Corp プラズマ処理装置用電極板
JP2012253347A (ja) * 2011-05-31 2012-12-20 Semes Co Ltd 基板処理装置
JP2016004998A (ja) * 2014-06-17 2016-01-12 ビーコ インストゥルメンツ インコーポレイテッド 化学蒸着のための回転ディスクリアクタのためのガスフローフランジ
WO2019235282A1 (ja) * 2018-06-07 2019-12-12 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
JPWO2019235282A1 (ja) * 2018-06-07 2021-06-17 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
JP2021052139A (ja) * 2019-09-26 2021-04-01 株式会社アルバック 真空処理装置
JP7282646B2 (ja) 2019-09-26 2023-05-29 株式会社アルバック 真空処理装置

Also Published As

Publication number Publication date
US7645341B2 (en) 2010-01-12
JP5497705B2 (ja) 2014-05-21
JP2011254097A (ja) 2011-12-15
BRPI0417991A (pt) 2007-04-27
US20050133160A1 (en) 2005-06-23
TWI390624B (zh) 2013-03-21
WO2005065186A2 (en) 2005-07-21
TW200524038A (en) 2005-07-16
KR101345904B1 (ko) 2013-12-31
KR20060129279A (ko) 2006-12-15
US20100065214A1 (en) 2010-03-18
JP4870575B2 (ja) 2012-02-08
CN1977068A (zh) 2007-06-06
WO2005065186A3 (en) 2006-05-18
US8080107B2 (en) 2011-12-20

Similar Documents

Publication Publication Date Title
JP4870575B2 (ja) プラズマ処理装置用のシャワーヘッド電極アセンブリ
US7862682B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
JP5826353B2 (ja) 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ
KR101280184B1 (ko) 플라즈마 프로세싱을 위해 rf 전력 및 공정 가스를 공급하는 가스 분배 부재
JP4955539B2 (ja) シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
US10332729B2 (en) Compression member for use in showerhead electrode assembly
JP5194125B2 (ja) シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
EP2301067B1 (en) Showerhead electrode assemblies for plasma processing apparatuses
JP2007522647A5 (ja)

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071212

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110131

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110805

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111031

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111117

R150 Certificate of patent or registration of utility model

Ref document number: 4870575

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250