JP2012500471A - プラズマ処理装置のための複合シャワーヘッド電極アセンブリ - Google Patents

プラズマ処理装置のための複合シャワーヘッド電極アセンブリ Download PDF

Info

Publication number
JP2012500471A
JP2012500471A JP2011522972A JP2011522972A JP2012500471A JP 2012500471 A JP2012500471 A JP 2012500471A JP 2011522972 A JP2011522972 A JP 2011522972A JP 2011522972 A JP2011522972 A JP 2011522972A JP 2012500471 A JP2012500471 A JP 2012500471A
Authority
JP
Japan
Prior art keywords
plate
backing plate
electrode
electrode assembly
backing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011522972A
Other languages
English (en)
Other versions
JP5490119B2 (ja
JP2012500471A5 (ja
Inventor
ディンドサ・ラジンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2012500471A publication Critical patent/JP2012500471A/ja
Publication of JP2012500471A5 publication Critical patent/JP2012500471A5/ja
Application granted granted Critical
Publication of JP5490119B2 publication Critical patent/JP5490119B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/4913Assembling to base an electrical component, e.g., capacitor, etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49401Fluid pattern dispersing device making, e.g., ink jet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49428Gas and water specific plumbing component making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • Y10T29/49769Using optical instrument [excludes mere human eyeballing]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49895Associating parts by use of aligning means [e.g., use of a drift pin or a "fixture"]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49959Nonresilient fastener

Abstract

【課題】プラズマ処理装置のための複合シャワーヘッド電極アセンブリ
【解決手段】プラズマ処理装置のためのシャワーヘッド電極は、電極板及びバッキング板の向かい合う面の間に界面ゲルを含む。界面ゲルは、熱膨張係数の不一致に起因して温度サイクル中に発生する側方変位の際に熱伝導性を維持する。界面ゲルは、例えば、酸化アルミニウムミクロスフェアを充填されたシリコーンベースの複合材料を含む。界面ゲルは、不規則な形状の特徴に適合するとともに、合わせ面間の接触表面積を最大にすることができる。界面ゲルは、消耗上部電極に事前に塗布等により付着することができる。
【選択図】図1A

Description

プラズマ処理装置は、エッチング、物理的気相成長(PVD)、化学的気相成長(CVD)、イオン注入、及びレジスト除去を含む技術によって基板を処理するために使用される。プラズマ処理に使用されるプラズマ処理装置の一種として、上部電極及び下部電極を含む反応チャンバが挙げられる。プロセスガスをプラズマ状態に励起させ、反応チャンバ内で基板を処理するために、電場が確立される。
一実施形態では、プラズマ処理装置内でプラズマを発生させるための複合シャワーヘッド電極アセンブリが提供される。複合シャワーヘッド電極アセンブリは、上面、底面、及びそれらの間の第1のガス通路を含むバッキング板であって、底面は、ブリッジ領域及び非ブリッジ領域を有し、第1のガス通路は、プラズマ処理装置の内部にプロセスガスを供給するために非ブリッジ領域内に出口を有する、バッキング板と、上面、プラズマ曝露底面、及びそれらの間に伸びて第1のガス通路と流体連通している第2のガス通路を有する電極板であって、第2のガス通路は、電極板の上面の非ブリッジ領域内に入口を有する、電極板と、少なくとも1つのブリッジ領域の向かい合う面の間に配された界面ゲルであって、電極板とバッキング板との間に熱接触を確立し、電極板とバッキング板との熱膨張係数の不一致に起因する、温度サイクル中におけるバッキング板に相対的な電極板の側方方向への移動中に、熱接触を維持する界面ゲルとを含み、電極板は、側方移動を可能にするようにバッキング板に結合される。
別の実施形態では、プラズマ処理装置のための複合シャワーヘッド電極アセンブリのためのコンポーネントを結合する方法が提供される。方法は、電極板の上面に対し、所定のパターンでブリッジ領域内に界面ゲルを付着することと、バッキング板の底面を電極板の上面に位置合わせすることと、クランプ又は接着接合によって電極板の上面をバッキング板の底面に取り付けることであって、界面ゲルは、電極板の上面とバッキング板の底面との間でブリッジ領域内を側方に広がり、バッキング板のガス通路は、電極板のガス通路と流体連通している、こととを含む。
別の実施形態は、プラズマ処理装置内で半導体基板を処理する方法を提供する。プラズマ処理装置の反応チャンバ内の基板サポート上に、基板が配される。複合シャワーヘッド電極アセンブリによって、反応チャンバにプロセスガスが導入される。反応チャンバ内でシャワーヘッド電極アセンブリと基板との間で、プロセスガスからプラズマが発生される。基板は、プラズマによって処理される。
更に別の実施形態では、プラズマ処理装置内でプラズマを発生させるための電極板は、バッキング板の底面に組み付けられる上面、プラズマ曝露底面、及びそれらの間に伸びるガス通路と、上記上面上に所定のパターンでブリッジ領域内に配された界面ゲル、及び非ブリッジ領域内に入口を有するガス通路と、を含む。
プラズマ処理装置のための複合シャワーヘッド電極アセンブリ及び基板サポートの一実施形態の一部分の断面図を示している。
プラズマ処理装置のための複合シャワーヘッド電極アセンブリ及び基板サポートの別の実施形態の一部分の断面図を示している。
内部電極部材の一実施形態の部分上面図であり、ガス通路に関連した所定のパターンでの界面ゲルの付着の様子を示している。
図2のシャワーヘッド電極アセンブリの一部分「B」の三次元斜視図であり、界面ゲルの付着の様子を示している。 図2のシャワーヘッド電極アセンブリの一部分「B」の断面図であり、界面ゲルの付着の様子を示している。 図2のシャワーヘッド電極アセンブリの一部分「B」の三次元斜視図であり、界面ゲルの付着の様子を示している。
図2のシャワーヘッド電極アセンブリの一部分「B」の断面図であり、界面ゲルの付着の様子を示している。 図2のシャワーヘッド電極アセンブリの一部分「B」の三次元斜視図であり、界面ゲルの付着の様子を示している。 図2のシャワーヘッド電極アセンブリの一部分「B」の断面図であり、界面ゲルの付着の様子を示している。
図2の内部電極部材の一部分の斜視図及び断面図をそれぞれ示しており、図5A及び図5Bに示された界面ゲルの付着の様子と、内部電極部材に結合させるために位置合わせされたバッキング板の一部分の一実施形態とを図示している。 図2の内部電極部材の一部分の斜視図及び断面図をそれぞれ示しており、図5A及び図5Bに示された界面ゲルの付着の様子と、内部電極部材に結合させるために位置合わせされたバッキング板の一部分の一実施形態とを図示している。
上部電極とバッキング部材との間の界面ゲル並びに界面ゲル及び熱伝導性で且つ導電性のガスケットの実施形態の断面図を示している。 上部電極とバッキング部材との間の界面ゲル並びに界面ゲル及び熱伝導性で且つ導電性のガスケットの実施形態の断面図を示している。
位置合わせ固定具の一実施形態及びバッキング板に対する内部電極部材の位置合わせの一実施形態の断面図を示している。 位置合わせ固定具の一実施形態及びバッキング板に対する内部電極部材の位置合わせの一実施形態の断面図を示している。 位置合わせ固定具の別の実施形態及びバッキング板に対する内部電極部材の位置合わせの一実施形態の断面図を示している。 位置合わせ固定具の別の実施形態及びバッキング板に対する内部電極部材の位置合わせの一実施形態の断面図を示している。
界面ゲル及び熱伝導性で且つ導電性のガスケットの一実施形態を含むシャワーヘッド電極アセンブリの上部電極の場所による、ウエハのプラズマ処理時における温度変動の試験結果を示している。
図9の試験に使用されたシャワーヘッド電極アセンブリを使用した連続処理実行時における温度変動の試験結果を示している。
界面ゲル及び熱伝導性で且つ導電性のガスケットを交換された後の図9の試験に使用されたシャワーヘッド電極アセンブリの上部電極の場所による、ウエハのプラズマ処理時における温度変動の試験結果を示している。
図11の試験に使用されたシャワーヘッド電極アセンブリを使用した連続処理実行時における温度変動の試験結果を示している。
集積回路の製造時における半導体ウエハの表面上の微粒子汚染の制御は、高信頼性デバイスの実現及び高歩留まりの獲得に不可欠である。プラズマ処理装置などの処理機器は、微粒子汚染の源になる可能性がある。例えば、ウエハ表面上の粒子の存在は、フォトリソグラフィ工程時及びエッチング工程時におけるパターン転写を局所的に乱す可能性がある。その結果、これらの粒子は、ゲート構造、金属間誘電体層、又は金属相互接続線を含む重要な特徴に欠陥をもたらし、集積回路コンポーネントの機能不良又は機能停止を招く可能性がある。
比較的寿命の短いリアクタパーツは、通例、「消耗品」と呼ばれ、例として、シリコン電極が挙げられる。消耗品パーツの寿命が短いと、所有コストが高くなる。誘電体エッチングツールに使用されるシリコン電極アセンブリは、多くのRF時間(プラズマを発生させるために高周波電力が使用される期間を時間数で表したもの)後に劣化する。消耗品及びその他のパーツの浸食は、プラズマ処理チャンバ内に微粒子汚染を発生させる。
シャワーヘッド電極アセンブリは、機械的に柔軟性で且つ/又は熱伝導性の接合材料によって2つ又は3つ以上の異種部材を結合することによって作成することができ、これは、多様な機能を可能にする。電極アセンブリの表面どうしを結合するために機械的クランプを使用することは、例えば、参照によって全体を本明細書に組み込まれた共同所有の米国特許第5,569,356号に記載されている。電極アセンブリの表面どうしを接合するためにエラストマを使用することは、例えば、参照によって全体を本明細書に組み込まれた共同所有の米国特許第6,073,577号、2007年12月19日出願の同時係属米国仮特許出願第61/008,152号(代理人整理番号第1015292−000112号)、及び2007年12月19日出願の同時係属米国仮特許出願第61/008,144号(代理人整理番号第1015292−000131号)に記載されている。エラストマによる接合の場合、接合材料は、導電性又は熱伝導性を高めるために、導電性及び/又は熱伝導性の充填粒子を含むことができる。プラズマ処理装置のコンポーネント間の熱伝導性及び導電性を高めるための方法の例が提示される。
図1Aは、例えばシリコンウエハなどの半導体基板を処理されるプラズマ処理装置のためのシャワーヘッド電極アセンブリ10の典型的な実施形態を示している。シャワーヘッド電極アセンブリ10は、上部電極12と、該上部電極12に固定された温度制御されたバッキング板14と、熱制御板16とを含むシャワーヘッド電極を含む。底部電極と、随意の静電クランプ電極とを含む基板サポート18(図1Aにはその一部分のみが示される)は、プラズマ処理装置の真空処理チャンバ内で上部電極12の下に位置決めされる。プラズマ処理を受ける基板20は、基板サポート18の上部基板サポート22上に機械的に又は静電的にクランプされる。
図示された実施形態では、シャワーヘッド電極の上部電極12は、内部電極部材24と、随意の外部電極部材30とを含む。内部電極部材24は、好ましくは円筒状の板(例えばシリコンで構成された板)であり、プラズマ曝露底面26と、上面28とを含む。内部電極部材24は、処理されるウエハと比べて小さい、等しい、又は大きい直径を有することができる(例えば、もし板がシリコンで作成される場合は、最大8インチ(約200mm)又は最大12インチ(約300mm))。好ましい実施形態では、シャワーヘッド電極アセンブリ10は、直径300mm以上の半導体ウエハのような大きい基板を処理するのに十分な大きさである。300mmウエハの場合、上部電極12は、少なくとも直径300mmであり、好ましくは直径約12〜15インチ(約300〜380mm)である。しかしながら、シャワーヘッド電極アセンブリは、その他のウエハサイズ又は非円形構造を有する基板を処理するようにサイズを決定することができる。図示された実施形態では、内部電極部材24は、基板20よりも広くされている。
300mmウエハを処理するために、外部電極部材30は、上部電極12の直径を約15〜17インチ(約380〜430mm)に広げるために提供される。外部電極部材30は、連続部材(例えば、連続的なポリシリコンリング)又は分割部材(例えば、シリコンで構成された分割片などの2〜6の個別の分割片をリング構造に配されたものを含む)であってよい。複数の分割片からなる外部電極部材30を含む上部電極12の実施形態では、分割片は、その下の接合材料をプラズマ曝露から保護するために部分的に重なり合う縁端を有することが好ましい。内部電極部材24は、プラズマ反応チャンバ内の上部電極12と底部電極18との間に位置する空間にプロセスガスを注入するためにバッキング部材14内を連通するガス通路32のパターン又は配列を含むことが好ましい。随意として、外部電極部材30は、プラズマ反応チャンバ内の上部電極12と底部電極18との間に位置する空間にプロセスガスを注入するためにバッキング部材14のバッキングリング36内を連通するガス通路(不図示)のパターン又は配列も含む。
シリコンは、内部電極部材24及び外部電極部材30のプラズマ曝露面として好ましい材料である。これらの両電極は、高純度の単結晶シリコンで作成されることが好ましく、これは、プラズマ処理時における基板の汚染を最小限に抑えるとともにプラズマ処理時に滑らかに摩滅することによって、粒子を最小限に抑える。上部電極12のプラズマ曝露面として使用できる他の材料としては、例えば、SiC又はAlNが挙げられる。
図示された実施形態では、バッキング部材14は、バッキング板34と、該バッキング板34の周囲を取り巻くバッキングリング36とを含む。バッキング板34は、底面38を含む。本実施形態では、内部電極部材24は、バッキング板34と同延であり、外部電極部材30は、周囲のバッキングリング36と同延である。しかしながら、バッキング板34は、内部電極部材24と、分割された又連続的な外部電極部材30とを支えるために1枚のバッキング板を使用できるように、内部電極部材24を超えて広がることもできる。上部電極12は、ネジなどの締結具又は周囲を取り巻くクランプリングを使用して、接合材料などによってバッキング部材14に固定される。
図1Aの実施形態では、内部電極部材24の周縁部をバッキング板34に取り付ける締結部材60が示されている。締結部材60は、内部電極部材24の周縁部に沿った複数の穴62に通されて、内部電極部材24をバッキング板34に取り付ける。外部電極部材30は、内部電極部材24の周縁部、複数の締結穴62、及び内部電極締結部材60に部分的に重なることが好ましい。外部電極カムロック64は、外部電極部材30をバッキング板に固定する。カムロックの詳細は、参照によって全体を本明細書に組み込まれた2008年3月14日出願の共同所有の米国仮特許出願第61/036862号に記載されている。
好ましくは、内部電極部材24の上面28の複数の位置合わせピン穴72が、バッキング板34内の複数の対応する位置合わせピン穴74に位置合わせされる。内部電極部材24をバッキング板34に位置合わせするために、位置合わせ穴72/74に入るポリマ製のピン又は締結具を使用することができる。随意として、このような位置合わせ穴及びピン(不図示)は、外部電極部材30とバッキングリング36とを位置合わせするために、これらのコンポーネント内にも設けることができる。随意として、このような位置合わせ穴72/74は、光学的に位置合わせすることができる。一実施形態では、位置合わせ穴が望ましくない可能性のある場所で、位置合わせマーク(不図示)を光学的に位置合わせすることができる。
好ましくは、内部電極部材24の上面28とバッキング板34の底面38との間のブリッジ領域82内に、界面ゲル48が配される。界面ゲル48は、内部電極部材24とバッキング板34との間に熱伝導性の界面を提供する。また、界面ゲルは、内部電極部材24とバッキング板34との間に導電性の界面を提供することができる。界面ゲル48は、内部電極部材24の上面28とバッキング板34の底面38との間の隙間86に跨る熱的な且つ/又は電気的な経路を提供する。随意として、界面ゲル48は、外部電極部材30とバッキングリング36との間のブリッジ領域内にも配することができる。好ましくは、外部電極部材30とバッキングリング36との間に熱伝導性で且つ導電性のガスケット46が配されて、外部電極部材30とバッキングリング36との間に熱伝導性で且つ導電性の経路を提供する。
内部電極部材24とバッキング板34との間で内部電極部材24の外縁部の近くには、高周波(RF)リングガスケット80を配することができる。バッキング部材14は、バッキング部材14を熱制御板16に取り付けるために締結部材42を入れるように適応された複数の穴40を含む。好ましくは、穴40及び締結部材42は、熱制御板16を通ってバッキング部材14内に達する。バッキング板34は、また、バッキング板34を通って内部電極部材24内のガス通路32と流体連通する複数のガス通路44も含む。随意として、バッキングリング36も、バッキングリング36を通って外部電極部材30内の随意のガス通路(不図示)と流体連通する複数のガス通路(不図示)を含む。
バッキング板34及びバッキングリング36は、プラズマ処理チャンバ内で半導体基板を処理するために使用されるプロセスガスと化学的に共存可能であるとともに導電性で且つ熱伝導性である材料で作成されることが好ましい。バッキング部材14を作成するために使用できる適切な材料の典型例として、アルミニウム、アルミニウム合金、グラファイト、及びSiCが挙げられる。バッキング板34及びバッキングリング36として好ましい材料は、陽極酸化されたアルミニウム合金6061である。
別の実施形態(図1B)では、内部電極部材24は、バッキング部材14に接合されず、代わりに、クランプリング66が、内部電極部材24をバッキング部材14に固定する。好ましくは、バッキング部材は、縁端からクランプされるときに中心における熱接触を保証するために、中心に小さな段差(不図示)を有する。クランプリング66は、クランプリング66の穴70を通ってバッキング板14に締結する締結具68によって、バッキング部材14に固定される。好ましくは、クランプリング66と内部電極部材24との間に誘電体リング67が配される。図1Bに示された実施形態では、外部電極部材30は、クランプリング66、締結具68、及び内部電極部材24の外縁に部分的に重なり、接合材料50によってバッキング部材14に取り付けられる。好ましくは、接合材料50は、熱応力に適応するとともに外部電極部材30とバッキングリング36との間で熱エネルギ及び電気エネルギを伝達する熱伝導性で且つ導電性の適切なエラストマ系接合材料である。更に別の実施形態では、内部電極部材24は、エラストマ系接合材料によってバッキング部材14に取り付けることができ、クランプリング66、誘電体リング67、及び締結具68は、省略することができる。
界面ゲルは、真空環境に対して適合性で且つ160℃を超えるような高温における熱劣化に対して耐性を持つポリマ材料などの任意の適切なゲル材料であってよい。界面ゲル材料は、随意として、導電性及び/若しくは熱伝導性の粒子の充填剤、又は金網、織若しくは不織の導電性布などのその他の形状にされた充填剤を含むことができる。160℃を超えるプラズマ環境内で使用できるポリマ系ゲル材料としては、ポリイミド、ポリケトン、ポリエーテルケトン、ポリエーテルスルホン、ポリエチレンテレフタレート、フルオロエチレンプロピレンコポリマ、セルロース、トリアセテート、及びシリコーンが挙げられる。
界面ゲルは、プラズマ処理装置内でのプラズマ発生時にシャワーヘッド電極アセンブリ内でゲルとしてとどまることが好ましい。好ましくは、ゲルは、ブリッジ領域内でのその位置を維持するために半架橋構造を有する。半架橋構造は、接着剤のように完全に架橋(硬化)されてはいないが、ゲルよりも粘性が小さく且つゲルよりも容易に流動するペーストよりも、高い粘度(剛性)を呈する。半架橋状態において、界面ゲルは、内部電極部材24の寿命期間のために、内部電極部材24の上面28とバッキング板34の底面38との間の隙間86に跨る熱伝導性で且つ/又は導電性の界面経路を提供し、しかも、内部電極部材24をバッキング板34には接着接合しない。このように、界面ゲルは、好ましいことに、表面の不規則性を塞ぎ、バッキング板34への内部電極部材24の接合を回避しつつ熱伝導性で且つ/又は導電性の接触を提供することによって、バッキング板34からの内部電極部材24の分離、及び新しい内部電極部材24への交換を可能にする。
好ましくは、界面ゲルは、熱伝導性の半架橋シリコーンであり、アルミニウム(Al)製のバッキング板を単結晶シリコン(Si)製のシャワーヘッド上部電極に熱的に橋渡しする。一実施形態では、界面ゲルは、Al23ミクロスフェアを充填された、熱伝導性の半架橋シリコーンベースのポリママトリックスを含むことが好ましい。好ましい一実施形態では、界面ゲル48は、(ゲルテック社(Geltec)から入手可能な)Lambda Gel COH-4000である。例えば内部電極部材24や外部電極部材30などの上部電極12、並びに例えばバッキング板34やバッキングリング36などのバッキング部材14の、それぞれの接触面は、例えば機械加工などの処理によってもたらされるある程度の粗さを有する。界面ゲル材料は、熱エネルギを伝達する柔らかく且つ粘着性のシートタイプのゲルであることも好ましい。好ましくは、接触面は、研磨された清浄な面である。界面ゲルシートは、研磨後に不完全性又は粗さが残る表面に接着して空隙を追い出すことによって、ゲルによって接触面の表面粗さを打ち消すとともに接触面の領域(例えばミクロボイド)を効果的に塞ぎ、接触面間の熱的な及び/又は電気的な接触を向上させることが好ましい。
熱伝導性で且つ導電性のガスケット(界面ガスケット)46は、中央部分を上側部分と下側部分との間に挟まれたような同軸環状リングの積み重ねを含むことが好ましい。例えば、中央部分は、アルミニウムの帯状片であってよく、上側部分及び下側部分は、炭素を装荷されたシリコーンの帯状片であってよい。或いは、界面ガスケット46は、窒化ホウ素を充填されたシリコーンなどの熱的充填材料(コメリックス社(Chomerics)によって製造されるCHO-THERM 1671など)、グラファイト(グラフテック社(Graftech)によって製造されるeGraf 705など)、インジウム箔、サンドイッチ(バーグクイスト社(Bergquist)によるQ-pad IIなど)、又は相変化物質(PCM)(サーマゴン社(Thermagon)によるT-pcm HP105など)である。
熱伝導性で且つ導電性のガスケット46は、例えば、伝導性シリコーン−アルミニウム箔サンドイッチガスケット構造、又はエラストマ−ステンレス鋼サンドイッチガスケット構造であってよい。好ましい一実施形態では、ガスケット145は、ミネソタ州チャンハッセン所在のバーグクイスト社(Bergquist Company)から入手可能なBergquist Q-Pad II複合材料である。これらの材料は、両側を熱伝導性/導電性のゴムでコーティングされたアルミニウムを含む。材料は、真空環境において適合性である。例えば内部電極部材24や外部電極部材30などの上部電極12、並びに例えばバッキング板34及びバッキングリング36などのバッキング部材14の、それぞれの接触面は、例えば機械加工などの処理によってもたらされるある程度の粗さを有する。ガスケット材料は、接触面の表面粗さを打ち消すとともに接触面の領域(例えばミクロボイド)を効果的に塞いで接触面間の熱接触を向上させるように、十分に柔軟性でもあることが好ましい。
好ましくは、界面ゲル48を含むブリッジ領域82は、環状ゾーンである。また、好ましくは、環状ゾーンは、分割されている。好ましくは、ブリッジ領域は、内部電極部材24及びバッキング板34の向かい合う面の間に跨る1〜12の連続的な又は分割された環状ゾーン(リング)であり、例えば、1〜3の、3〜6の、6〜8の、及び8〜12の環状ゾーンである。図2は、上面28内に達する複数の円周方向列のガス通路32を含む内部電極部材24の上面図である。この実施例では、界面ゲル材料48は、ガス通路32を含む領域と領域との間に環状ゾーンのパターンで塗布等の手法で付着される。しかしながら、界面ゲル48は、例えば、取り付け穴且つ/又は位置合わせ穴72を含む領域で分割することができる。界面ゲル48は、環状ゾーンの形で塗布されるとして示されているが、界面ゲルの塗布パターンは限定されず、環状ではないゾーンのようなその他のパターンで塗布することもできる。
好ましくは、導電性で且つ熱伝導性のガスケット46は、内部電極部材24の上面28とバッキング板34の底面38との間で内部電極部材24の周縁部の近くに配された環状リングである。やはり好ましくは、環状リングガスケット46は、外部電極部材30とバッキングリング36との間に配される。随意として、界面ゲル48、及び導電性で且つ熱伝導性のガスケット46は、上部電極12の上面とバッキング部材14の底面との間で重層化することができる。例えば、界面ゲル48は、導電性で且つ熱伝導性のガスケット46の上に及び/又は導電性で且つ熱伝導性のガスケット46の下にあってよい。重層には、2枚以上の導電性で且つ熱伝導性のガスケット46が含まれてよく、導電性で且つ熱伝導性の各ガスケット46は、その上に及び/又は下に界面ゲル48を有してよい。
界面ゲルは、内部電極部材24の上面28に対し、所定のパターンでブリッジ領域(領域AA)の付着領域(図3A及び図3Bにおける領域A)内に塗布することができる。一実施例では、ゲル48は、内部電極部材24をその中心点Cを中心に回転させ、ディスペンサ(例えばチューブ式ディスペンサ)によって、ディスペンサの1つ又は複数の出口を1つの位置に接触させることによって、又は中心点Cに相対的な複数の半径方向位置に接触させて1つ又は複数の環状ゾーンを一度に生成することによって付着することができる。別の実施例では、所定のパターンは、該所定のパターンで開口を有するマスクで内部電極部材24の上部28を覆うことによって塗布することができる。界面ゲルは、マスクの開口を通して拭く、はけ塗りする、又は吹き付けることによって付着することもできる。マスク材料の例として、ポリイミドベースの材料であるKAPTON(登録商標)、ポリエステルベースの材料であるMYLAR(登録商標)、又はフルオロポリマ樹脂であるTEFLON(登録商標)が挙げられ、これらはいずれも、デュポン社(DU PONT)から入手可能である。
好ましい一実施形態では、界面ゲルは、取り扱いのため、転写シートに挟まれた状態で供給される。好ましくは、転写シートは、デュポン社(DU PONT)によって製造されるTEFLONである。転写シートは、例えば、内部電極部材24上への界面ゲルの設置を可能にすることが好ましい。界面ゲルは、片面の転写シートを剥ぎ取り、露出された界面ゲルの表面を内部電極部材24の上面28にあてがうことによって、上面28上の付着領域(領域A)に塗布される(図4A及び図4B)。好ましくは、塗布される界面ゲルの厚さは、約0.01〜0.05インチ(約0.25〜1.3mm)であり、より好ましくは、約0.02〜0.04インチ(約0.51〜1.0mm)である。もう片面の転写シート52が剥ぎ取られ(図5A及び図5B)、露出された界面ゲル48の上面に、バッキング板34の底面38があてがわれる(図6A及び図6B)。界面ゲルの表面は、粘着性であってよく、好ましくは、転写シートの剥ぎ取り及び表面上への界面ゲルシートの設置を正確に行うために、工具が使用されてよい。
一実施形態では、界面ゲル48、及び導電性で且つ熱伝導性のガスケット46は、上部電極12の上面とバッキング部材14の底面との間で重層化することができる。好ましくは、導電性で且つ熱伝導性のガスケット46の厚さは、約0.005〜0.05インチ(約0.13〜1.3mm)であり、より好ましくは約0.008〜0.02インチ(約0.20〜0.51mm)であり、更により好ましくは約0.01〜0.014インチ(約0.25〜0.36mm)である。例えば、図7Aは、内部電極部材24の上面とバッキング板34の底面38との間のブリッジ領域AAにおける界面ゲル48の断面を示している。図7Bは、内部電極部材24の上面とバッキング板34の底面38との間のブリッジ領域AAにおける界面ゲル48及び導電性で且つ熱伝導性のガスケット46の断面の一例を示している。好ましくは、導電性で且つ熱伝導性のガスケット46は、中央部分46bを上側部分46aと下側部分46cとの間に挟まれたような同軸環状リングの積み重ねを含む。例えば、中央部分46bは、アルミニウムの帯状片であってよく、上側部分46a及び下側部分46cは、炭素を装荷されたシリコーンの帯状片であってよい。好ましくは、導電性で且つ熱伝導性のガスケット46の圧縮性は限られ、圧縮するためには、界面ゲル48よりも大幅に強い力を必要とする。界面ゲル48は、最小の接触力で容易に熱界面を確立できるように、容易に圧縮することが好ましい。好ましくは、界面ゲルの圧縮に伴って、熱抵抗が減少する。例えば、0.002インチ/分(約0.051mm/分)の圧縮速度で30%圧縮された厚さ0.02インチ(約0.51mm)の界面ゲルは、約0.06℃/Wの熱抵抗を有することが好ましい。
好ましくは、バッキング板と電極が事前に組み立てられる一実施形態では、上部電極12とバッキング部材14とを位置合わせするために、位置合わせ固定具(図8A〜8B)を使用することができる。やはり好ましくは、内部電極部材24と内部バッキング板34とは、押し合わされ、締結具、クランプリング、接着性のエラストマ系接合などによって結合される。シャワーヘッド電極アセンブリは、真空バギング又は真空プレスなどによって界面ゲル下のあらゆる間隙又は空隙を抜き取るため及びプレス荷重を印加するために、位置合わせ固定具内で真空下に置くことができる。板24/34が押し合わされると、界面ゲルは、ブリッジ領域(領域AA)を満たすために側方に広がる。好ましくは、内部電極部材24の上面28とバッキング板34の底面38との間の隙間86に跨る界面ゲル48は、結合されたシャワーヘッド電極アセンブリ内で、厚さが約0.005〜0.02インチ(約0.13〜0.51mm)、より好ましくは厚さが約0.009〜0.012インチ(約0.23〜0.30mm)である。
図8A及び図8Bは、上部電極12とバッキング部材14との間の様々な場所に界面ゲル48及び導電性で且つ熱伝導性のガスケット46を配された上部電極12にバッキング部材14を結合するための位置合わせ固定具90の一実施形態を示している。
図8Aに示された実施形態では、内部電極部材24などの上部電極12が、位置合わせ固定具90の台座106上に位置決めされる。随意として、内部電極部材24は、位置合わせマーク(不図示)などの感知によって台座上に光学的に位置合わせすることができる。位置合わせ固定具90は、バッキング板34などのバッキング部材14の外縁を内部電極部材24の上へ誘導するための位置合わせフレーム108を有することができる。位置合わせ固定具90のプレス94は、内部電極部材24のガイドピン78及び/又は随意の位置合わせマークがバッキング板34上の対応するピン挿入穴76及び/又は随意の位置合わせマークに位置合わせされるようにバッキング板34を内部電極部材24の上へ下降させるために、締結穴102に通される締結具100、吸引(不図示)、及び/又は位置合わせピン96によってバッキング板の上に取り付けることができる。ハンドル92は、プレス94を矢印Fzの方向に移動させて、位置合わせされた板どうしを押し合わせるために、自動的に又は手動で操作することができる。
図8Bは、界面ゲル48及び/又は導電性で且つ熱伝導性のガスケット46を介在させた状態で位置合わせされた板24/34を示している。バッキング板34及び内部電極部材24のピン位置合わせ穴には、これらの板どうしの位置合わせを補助するために、位置合わせピン96を挿入することができる。
プレス94は、2枚の板24/34の間のブリッジ領域内に界面ゲル48及び/又は導電性で且つ熱伝導性のガスケット46を配された状態でこれらの2枚の板上の位置合わせ穴76とピン78とを位置合わせし、位置合わせされたこれらの板どうしを押し合わせることができる。好ましくは、板24/34は、界面ゲルを広がらせるために、所定の時間にわたって所定の圧力下で押し合わされる。板は、次いで、締結具、クランプリング、接合などによって結合することができる。例えば、内部電極部材24の上面28内の穴72と位置合わせされるバッキング板34の締結位置合わせ穴74には、これらの2枚の板24/34を合わせて固定するために、締結具が入れられる(図1A)。随意として、位置合わせされた板がエラストマ系接着剤によって接合される場合は、締結具は省略されてよい。位置合わせ固定具90のプレス94は、締結具100や吸引などを排除することによってバッキング板の上から取り外すことができる。板は、次いで、位置合わせ固定具90から取り外される。このような一実施形態では、外部電極部材30及び/又はバッキングリング36は、板24/34が位置合わせ固定具90から取り外された後に装着される。例えば、板24/34は、反応チャンバ内の熱制御板16、並びに締結具、クランプリング、エラストマ系接合などによって取り付けられた外部バッキングリング36及び/又は外部電極30に取り付けることができる。
図8A及び図8Bに示された実施形態では、内部電極部材24が位置合わせ固定具90の台座106上にあり、内具電極部材24の上方にバッキング板があるが、別の実施形態では、必要に応じてこれらの板の位置を逆転させることができる。好ましくは、チャンバ壁202を有するプラズマ反応チャンバ200内の熱制御板16に、バッキング板34が取り付けられ(図8C)、そのバッキング板34に内部電極部材24を位置合わせするために、位置合わせフレーム90’が使用される。内部電極部材24は、次いで、締結具、クランプリング、接合などによってバッキング板34に取り付けられる。好ましくは、外部電極部材30は、板24/34から位置合わせフレーム90’が取り外された後に装着される。随意として、外部電極部材30を位置合わせするために、位置合わせフレームが使用されてもよい。
図8Dに示された実施形態では、バッキング板34から位置合わせフレーム90’が取り外された後に内部電極部材24をバッキング板34に固定するために、クランプリング66が使用される。随意として、バッキング板34は、内部電極部材24がその縁端のみでクランプされる場合の位置合わせを保証するため及び中心における熱接触を向上させるために、中心に段差を有する。クランプリング66は、クランプリング66の穴70を通ってバッキング板34に締結する締結具68によって、バッキング板34に固定される。好ましくは、クランプリング66と内部電極部材24との間に、プラスチック又はその他の適切な材料の誘電体リング67が配される。図8Dに示された実施形態では、外部電極部材30は、クランプリング66、締結具68、及び内部電極部材24の外縁部に部分的に重なり、カムロック64によってバッキング板34に取り付けられる。このようなカムロック64は、例えば、参照によって全体を本明細書に組み込まれた2008年7月7日出願の共同所有の同時係属米国仮特許出願第12/216,526号(代理人整理番号第1015292−000204号)に記載されている。
上述された方法は、界面ゲルをバッキング板34の底面38に付着するためにも使用することができる。少なくとも一方の表面に界面ゲルが塗布された後は、パーツの両表面が圧縮下又は静重量下で押し合わされ、締結具、クランプリング、エラストマ系の接着接合などによって結合されるように、パーツどうしを組み合わせることができる。
上部電極とバッキング部材との間に界面ゲル及び/又は導電性で且つ熱伝導性のガスケットを配された電極アセンブリは、プラズマ処理時において、高い動作温度、高い電力密度、及び長いRF時間に持ちこたえることができる。
界面ゲルは、アルミニウム製バッキング板及びシリコン製シャワーヘッドが処理中に熱サイクルに起因して互いに異なる膨張率で熱的に膨張するときに、上部電極12とバッキング部材14との間の熱接触を維持する。一般に、上部電極12とバッキング部材14とを結合するために使用される、例えばクランプリング又はエラストマ系接着剤などの接合部は、これらの2つのパーツ間において荷重を結合する。しかしながら、接合部が柔らかい(一実施形態にしたがうと、所定のひずみにおけるせん断応力が低い)場合は、これらの2つのパーツは、応力又はダイヤフラム変形を互いに誘発しない。好ましくは、バッキング板及びシャワーヘッドは、表面どうしが擦れないように、2つの合わせ面の非接合区域間に隙間を有する。これらの2つのパーツの熱膨張に差があるとき、ダイヤフラム変形は、バッキング板表面の非接合区域を、シャワーヘッド表面の非接合区域に接触させて、シャワーヘッド表面の非接合区域と擦れ合わせる可能性がある。このような擦れ合いは、一方又は両方の表面から粒子を擦り落とす可能性がある。しかしながら、このような隙間は、熱伝導性が低く、処理時における基板の限界寸法の偏差を抑えるためには、上部電極の温度の制御が求められる。界面ゲルは、板どうしの相対的な側方運動を可能にしつつ、ブリッジ領域内の隙間に跨る熱伝導性の経路を提供する。
界面ゲル48は、一連のウエハの連続処理時における「最初のウエハによる影響」も抑えられるように、ブリッジ領域82を通じた熱伝達を向上させ、上部電極12をより良く制御する。すなわち、「最初のウエハによる影響」とは、最初に処理されるウエハの処理中にシャワーヘッド電極の加熱によって間接的に引き起こされる、後続のウエハの二次的な加熱を言う。具体的には、最初のウエハの処理の完了時において、加熱された処理済みウエハ及びプロセスチャンバの側壁は、上部電極に向けて熱を放射する。上部電極は、すると、間接的に、チャンバ内で処理される後続のウエハに対し、二次的な加熱のメカニズムをもたらす。ウエハ温度の変動は、半導体基板内に高アスペクト比のコンタクトビアをエッチングする際のCD(限界寸法)に影響を及ぼす可能性があるので、システムによって処理される最初のウエハは、システムによって処理される後続のウエハと比べて、所望よりも大きいCD変動を呈するであろう。続いて処理されるウエハは、チャンバ内での温度の安定化ゆえに、最初に処理されるウエハと比べて、異なる且つ/又は小さいCD変動を有するであろう。
ウエハの場所による温度変動及びウエハ対ウエハの温度変動も、ブリッジ領域82を通じた熱伝達を界面ゲル48によって向上させることによって好ましく抑えることができる。また、異なる処理ラインにある複数のプラズマエッチングチャンバが所望のプロセス又はスループットのために使用される場合のチャンバ対チャンバの温度整合も、ブリッジ領域82を通じた熱伝達を向上させることによって好ましく達成することができる。
ウエハの場所による、ウエハ対ウエハの、又はチャンバ対チャンバの、摂氏1度のウエハ温度変動は、3σ(3×標準偏差)で約0.5〜0.1nm(例えば、0.4nm/℃〜0.2nm/℃、又は0.35nm/℃〜0.25nm/℃)のCD変動の増加を引き起こす。
上述のように、ブリッジ領域82内に熱伝導性の界面ゲル48を使用すると、最初のウエハが処理された後、引き続き処理されるウエハの温度は、安定することができるので、続いて処理されるウエハ上の基準点の温度変動は、好ましくは約10℃未満、より好ましくは約5℃未満であり、したがって、例えば、半導体基板内に高アスペクト比のコンタクトビアをエッチングする場合、CD変動は、約5nm(0.5nm/℃×10℃)以内に、より好ましくは約3nm(0.3nm/℃×10℃)以内に、最も好ましくは約0.5nm(0.1nm/℃×5℃)以内に制御することができる。
メモリの応用では、CD変動は、3σで4nm未満であることが望ましい。界面ゲル48によって提供される、ブリッジ領域82を通じた熱伝達の向上によって、CD変動は、好ましくは、ウエハ対ウエハが1nm未満、チャンバ対チャンバが4nm未満である。ロジックの応用では、CD変動は、3σで3nm未満であることが望ましい。界面ゲル48によって提供される、ブリッジ領域82を通じた熱伝達の向上によって、CD変動は、好ましくは、ウエハ対ウエハが2nm未満、チャンバ対チャンバが4nm未満である。
好ましくは、界面ゲル48は、電極の中心から電極の縁端にかけての温度変化を10℃未満に、そして方位温度変化を5℃未満に最小限に抑える。新しい又は使用済みのアルミニウム製バッキング部材の使用に起因する電極温度変動は、新しい及び使用済みのアルミニウム製バッキング部材の接触面状態に関係する。界面ゲル48は、新しい及び使用済みのアルミニウム製バッキング部材によって引き起こされる電極温度変化を約5℃未満に最小限に抑えることができる。また、パーツは、洗浄のために取り外されてよく、各パーツは、このような洗浄後に同じ熱性能を示すことが好ましいとされる。界面ゲル48は、アルミニウム製バッキング部材の洗浄前と洗浄後との間の熱性能変化を電極温度にして約5℃未満に最小限に抑えることが好ましい。
界面ゲルは、純粋に、低分子量のジメチルシリコーン及び随意の充填剤で処方することができる、又は様々な応用の用件に適合するために、ファイバグラススクリーン(scrim)や金属スクリーンの周囲にマトリクス状に配する、若しくはガラスのミクロビーズ及び/若しくはナノビーズ若しくはその他の材料と混ぜ合わせることができる。好ましくは、界面ゲルは、Si−O主鎖にメチル基を保持したゲルマトリクス材料(シロキサン)を含む。好ましくは、界面ゲルは、Al23ミクロビーズの周囲にマトリクス状に配された低分子量のジメチルシリコーンで処方される。
界面ゲルが熱伝導性で且つ/又は導電性のゲルである場合は、熱伝導性で且つ/又は導電性の充填材料は、熱伝導性で且つ/又は導電性の金属又は金属合金の粒子を含む。プラズマ反応チャンバの不純物感受性環境内での使用に好ましい金属は、アルミニウム合金、酸化アルミニウム(Al23)、シリコン、シリコン酸化物、シリコン炭化物、イットリア酸化物(Y23)、グラファイト、カーボンナノチューブ、カーボンナノ粒子、シリコン窒化物(SiN)、窒化アルミニウム(AlN)、又は窒化ホウ素(BN)である。好ましくは、界面ゲルは、容易に圧縮可能であり、接触面の側方変位下で熱接触及び/又は電気接触を維持することができ、高い熱伝導性を有する。熱伝導性は、好ましくは約0.5〜1W/mKであり、より好ましくは約1〜5W/mKであり、最も好ましくは少なくとも5W/mKである。
ブリッジ領域は、電極板24及びバッキング板34の向かい合う面28/38の表面積の1〜95%であってよい。例えば、ブリッジ領域は、向かい合う面28/38の表面積の1〜5%、5〜10%、10〜15%、15〜20%、20〜30%、30〜40%、40〜50%、50〜60%、60〜70%、70〜80%、80〜90%、又は90〜95%であってよい。向かい合う面28/38上のガス通路32/44開口は、非ブリッジ領域内にあり、界面ゲルは、ブリッジ領域を熱的に橋渡しする。
やはり好ましくは、バッキング板底面38は、電極上面28と平行であり、2つの向かい合う面の間の距離(隙間)は、約±25μm(0.001インチ)未満で変動する。
バッキング板34は、例えば、参照によって全体を本明細書に組み込まれた共同所有の米国特許出願公開第2007/0068629号に記載される任意の適切な締結部材によって、熱制御板16に取り付けられる。バッキング部材34は、バッキング部材34を熱制御板16に取り付けるために締結部材42を入れるように適応された複数の穴40を含む。
実施例
内部電極部材と温度制御されたアルミニウムバッキング板との間に界面ゲル及び導電性で且つ熱伝導性のガスケットを配されたシリコン製上部シャワーヘッド電極に対するウエハのプラズマ処理実行時における温度試験の非限定的な実施例が提示される。界面ゲルは、内部電極部材の中心近くの2つの同心環状ブリッジゾーン内に配され、導電性で且つ熱伝導性の2つの同心環状ガスケットは、外縁部の近くに配された(実施例1)。内部電極部材の中心近くの2つの同心環状ブリッジゾーンは、約r=1.5インチ(約3.8cm)及び約r=3インチ(約7.6cm)であった。外縁近くの導電性で且つ熱伝導性の2つの同心環状ガスケットは、約r=4.5インチ(約11cm)及び約r=6.25インチ(約16cm)であった。ブラケットフォトレジストウエハに対して酸化物エッチングが実施された。しかしながら、任意の適切なウエハ処理システムにおける使用に対して任意の特定のタイプのウエハ処理装置又はウエハ処理システムが適応されてよく、非限定例として、デポジション、酸化、エッチング(ドライエッチング、プラズマエッチング、反応性イオンエッチング(RIE)、磁気強化型反応性イオンエッチング(MERIE)、電子サイクロトロン共鳴(ECR)を含む)などに適応されたものが挙げられる。プラズマ酸化物エッチング試験は、約2500Wと27MHz、及び約3500Wと2MHzの2つの周波数で底部電極を通して約6kWの総電力を送られて実施された。チャンバ圧力は、約45ミリトールに維持され、プラズマは、約300sccmのAr、18sccmのC48、及び19sccmのO2でチャンバに流し込まれたプロセスガスから生成された。上部電極は、約120℃の温度に維持され、下部電極は、約20℃の温度に維持された。プロセス時間は、約5分間であった。導電性で且つ熱伝導性のガスケットは、厚さ0.012インチのBergquist Q-pad IIであった。界面ゲルは、厚さ0.02インチ(約0.51mm)のGeltech Lambda Gel COH-4000であった。実施例1のシリコン製上部シャワーヘッド電極を使用した第1回目のプロセス実行では、上部電極の中心から縁端にかけての最大温度差は9.5℃であり、上部電極の中心から中間にかけての電極温度差は、7.7℃であった。図9は、ブランケットフォトレジストウエハに対するプラズマ酸化物エッチングの第1回目の実行時における、上部電極の中心(約r=1.5インチ(約3.8cm))、上部電極の中間(約r=3インチ(約7.6cm))、及び上部電極の縁端(約r=5インチ(約13cm))の各場所における温度の試験結果を示している。上部電極の平均中心温度は、171.75±0.75℃であった。酸化物エッチング中に測定された、上部電極の平均中間温度は165.30±0.5℃、平均縁端温度は163.50±0.5℃であった。試験中の第2回目の熱サイクル上でウエハ障害が発生し、プロセス実行がやり直しされた。障害サイクルからのデータは、図には示されたが計算には使用されなかった。
図10は、実施例1のシャワーヘッド電極アセンブリを使用した連続プロセス実行時における上部電極の中心における温度の再現性を示している。(連続実行時における)中心対中心の最大温度差は、1.7℃であった。第1回目の実行時における上部電極の平均中心温度(「Center」)は、171.85±0.65℃、第2回目の実行時における平均(「Center 2」)は、171.35±0.55℃であった。
バッキング板34は、内部電極部材24から外された。更なる試験のために、界面ゲル及び導電性で且つ熱伝導性のガスケットは、実施例1で使用されたような新しいゲル材料及びガスケット材料に置き換えられ、シャワーヘッド電極アセンブリは、再び組み立てられた。図11及び図12は、新しいゲル材料及びガスケット材料を用いたときの(実施例2)、上部電極の各点及び連続プロセス実行時における上部電極の中心における試験結果をもとにした温度変動を示している。実施例2のシリコン製上部シャワーヘッド電極を使用した第3回目のプロセス実行時において、酸化物エッチング中における上部電極の中心から縁端にかけての最大温度差は10.1℃、中心から中間にかけての最大温度差は6.8℃であった。平均中心温度(「Center」)は、168.85±0.65℃であった。上部電極の平均中間温度は163.2±0.50℃、平均縁端温度は160.05±0.65℃であった。実施例2のシリコン製上部シャワーヘッド電極を使用した第4回目の酸化物エッチングプロセス時において、上部電極の平均中心温度(「Center2」)は168.65±0.65℃、連続実行時における最大中心温度差は1.5℃であった。表1は、2つの実施例に対する試験結果の幾つかの相違点をまとめたものである。
Figure 2012500471
本明細書において「約」という用語が数値に関連して使用されるときは、その関連の数値は、表示された数値の前後に±10%の許容範囲を含むことを意図している。ここで使用される用語及び言い回しは、数学的又は幾何学的な正確さを伴って解釈されるのではなく、むしろ、幾何学的専門用語は、その幾何学的な用語及び概念に近い又は類似した意味として解釈される。「一般に」及び「実質的に」などの用語は、関連用語の正確な意味及び概念を内包するとともに、形態、機能、及び/又は意味と一致する合理的な自由裁量の範囲を提供することを意図している。
本発明は、その特定の実施形態に関連して詳細に説明されてきたが、当業者ならば、添付の特許請求の範囲から逸脱することなく様々な変更及び修正を加えられること、及び均等物を使用できることが明らかである。

Claims (26)

  1. プラズマ処理装置内でプラズマを発生させるための複合シャワーヘッド電極アセンブリであって、
    上面、底面、及びそれらの間の第1のガス通路を含むバッキング板であって、前記底面は、ブリッジ領域及び非ブリッジ領域を有し、前記第1のガス通路は、前記プラズマ処理装置の内部にプロセスガスを供給するために非ブリッジ領域内に出口を有する、バッキング板と、
    上面、プラズマ曝露底面、及びそれらの間に伸びて前記第1のガス通路と流体連通している第2のガス通路を有する電極板であって、前記第2のガス通路は、前記電極板の前記上面の非ブリッジ領域内に入口を有する、電極板と、
    前記ブリッジ領域のそれぞれにおいて向き合う面の間に配された界面ゲルであって、前記電極板と前記バッキング板との間に熱接触を確立し、前記電極板と前記バッキング板との熱膨張係数の不一致に起因する温度サイクル中における前記バッキング板に相対的な前記電極板の側方への移動中に前記熱接触を維持する界面ゲルと、
    を備え、前記電極板は、前記移動を可能にするように前記バッキング板に結合された
    複合シャワーヘッド電極アセンブリ。
  2. 請求項1に記載の複合シャワーヘッド電極アセンブリであって、
    前記界面ゲルは導電性である複合シャワーヘッド電極アセンブリ。
  3. 請求項1に記載の複合シャワーヘッド電極アセンブリであって、
    前記電極板は、前記電極板の外縁に係合して前記電極板を前記バッキング板に弾性的に押し付けるクランプ部材によって前記バッキング板に結合され、前記クランプ部材と前記バッキング板との間には、熱伝導性で且つ導電性のガスケットが配された複合シャワーヘッド電極アセンブリ。
  4. 請求項1に記載の複合シャワーヘッド電極アセンブリであって、
    前記電極板は、エラストマ系の接着接合によって前記バッキング板に結合された複合シャワーヘッド電極アセンブリ。
  5. 請求項1に記載の複合シャワーヘッド電極アセンブリであって、
    前記バッキング板は、内部バッキング板及び外部バッキングリングを含み、前記外部バッキングリングは、前記内部バッキング板を取り囲み、前記第1のガス通路は、前記内部バッキング板内にあり、随意として前記外部バッキングリング内にあり、
    前記電極板は、前記内部バッキング板に結合された内部シャワーヘッド電極、及び前記外部バッキングリングに結合された外部リング電極を含み、前記第2のガス通路は、前記内部シャワーヘッド電極内にあり、随意として前記外部リング電極内にある
    複合シャワーヘッド電極アセンブリ。
  6. 請求項5に記載の複合シャワーヘッド電極アセンブリであって、
    (a)前記内部バッキング板及び前記内部シャワーヘッド電極の互いに向き合う面は、互いに平行であり、且つ/又は(b)前記電極板は、単結晶シリコン、多結晶シリコン、グラファイト、若しくはシリコン炭化物であり、前記バッキング板は、アルミニウム、グラファイト、若しくはシリコン炭化物である複合シャワーヘッド電極アセンブリ。
  7. 請求項1に記載の複合シャワーヘッド電極アセンブリであって、更に、
    前記電極板表面と前記バッキング板表面との間で少なくとも1つのブリッジ領域内に配された少なくとも1つの熱伝導性で且つ導電性のガスケットを備えた複合シャワーヘッド電極アセンブリ。
  8. 請求項7に記載の複合シャワーヘッド電極アセンブリであって、
    前記界面ゲルは、2つの内部ブリッジ領域において、向き合う面の間に第1及び第2の連続的な又は分割されたリングを含み、前記熱伝導性で且つ導電性の少なくとも1つのガスケットは、2つの外部ブリッジ領域において、前記向き合う面の間に第1及び第2の連続的な又は分割されたリングを含む
    複合シャワーヘッド電極アセンブリ。
  9. 請求項7に記載の複合シャワーヘッド電極アセンブリであって、
    前記熱伝導性で且つ導電性のガスケットは、異なる物理特性を有する2枚又は3枚以上の積み重ねられた層を含む複合シャワーヘッド電極アセンブリ。
  10. 請求項7に記載の複合シャワーヘッド電極アセンブリであって、
    前記界面ゲルの少なくとも一部分、及び前記ガスケットは、前記電極板表面と前記バッキング板表面との間に0.5〜1W/mKの、1〜5W/mKの、及び/又は5W/mKを超える熱伝導性を有する複合シャワーヘッド電極アセンブリ。
  11. 請求項1に記載の複合シャワーヘッド電極アセンブリであって、
    前記界面ゲルは、シリコーンベースの複合材料、低分子量のシロキサン、及び熱伝導性充填剤の一様分布、又はこれらの組み合わせを含む複合シャワーヘッド電極アセンブリ。
  12. 請求項11に記載の複合シャワーヘッド電極アセンブリであって、
    前記熱伝導性充填剤は、窒化ホウ素(BN)、酸化アルミニウム(Al23)、シリコン、シリコン炭化物、及びこれらの組み合わせの1つである複合シャワーヘッド電極アセンブリ。
  13. 請求項1に記載の複合シャワーヘッド電極アセンブリであって、
    前記バッキング板及び前記電極板の前記向き合う面の間のブリッジ領域の隙間距離は、±25μm(0.001インチ)未満で変動する複合シャワーヘッド電極アセンブリ。
  14. 請求項1に記載の複合シャワーヘッド電極アセンブリであって、
    (a)前記界面ゲルは、シート材料であり、
    (b)前記ブリッジ領域は、1〜3の、3〜6の、6〜8の、又は8〜12の、連続的な又は分割された環状ゾーンを含み、
    (c)前記ブリッジ領域は、前記電極板及び前記バッキング板の前記向き合う面の表面積の1〜5%、5〜10%、10〜15%、15〜20%、20〜30%、30〜40%、40〜50%、50〜60%、60〜70%、70〜80%、80〜90%、又は90〜95%を含む
    複合シャワーヘッド電極アセンブリ。
  15. プラズマ処理装置のための複合シャワーヘッド電極アセンブリのためのコンポーネントを結合する方法であって、
    電極板の上面に対し、所定のパターンでブリッジ領域内に界面ゲルを付着することであって、前記電極板は、プラズマ曝露底面、及び前記上面と前記底面との間に伸びて非ブリッジ領域内に入口を有する複数のガス通路を有することと、
    バッキング板の底面を前記電極板の前記上面に位置合わせすることであって、前記バッキング板は、上面、及び前記上面と前記底面との間に伸びて非ブリッジ領域内に出口を有する複数のガス通路を有することと、
    クランプ又は接着接合によって前記電極板の前記上面を前記バッキング板の前記底面に取り付けることであって、前記界面ゲルは、前記電極板の前記上面と前記バッキング板の前記底面との間でブリッジ領域内を側方に広がり、前記バッキング板の前記ガス通路は、前記電極板の前記ガス通路と流体連通することと
    を備えた結合方法。
  16. 請求項15に記載の複合シャワーヘッド電極アセンブリのためのコンポーネントを結合する方法であって、
    前記電極板の前記上面を前記バッキング板の前記底面に取り付けることは、更に、
    ネジ及び/又はクランプリングを使用して前記電極板の外縁をクランプし、前記電極を前記バッキング板に弾性的に押し付けることであって、前記クランプリングは、前記クランプリングと前記バッキング板との間に熱伝導性で且つ導電性のガスケットを、そして前記クランプリングと前記電極との間に誘電体リングを有する、こと、並びに/或いは
    エラストマ系の接着接合によって前記電極板を前記バッキング板に接着接合すること、
    を含む方法。
  17. 請求項15に記載の複合シャワーヘッド電極アセンブリのためのコンポーネントを結合する方法であって、
    前記電極板の前記上面に対して前記界面ゲルを付着することは、複数の連続的な又は分割されたリングの形で前記界面ゲルを塗布することを含む方法。
  18. 請求項15に記載の複合シャワーヘッド電極アセンブリのためのコンポーネントを結合する方法であって、
    前記電極板の前記上面に対して前記界面ゲルを付着することは、前記電極板をその中心点を中心に回転させ、ディスペンサによって、前記ディスペンサの出口を、前記回転する電極に、前記中心点に相対的な複数の半径方向位置で接触させて、環状又は半環状のゾーンを堆積させることを含む方法。
  19. 請求項15に記載の複合シャワーヘッド電極アセンブリのためのコンポーネントを結合する方法であって、更に、
    位置合わせ固定具内で、前記界面ゲルを間に介在させた状態で前記バッキング板を前記電極板に押し付けることを備える方法。
  20. 請求項15に記載の複合シャワーヘッド電極アセンブリのためのコンポーネントを結合する方法であって、
    (a)前記取り付けることは、更に、前記電極板の前記上面と前記バッキング板の前記底面を、圧縮下で、静重量下で、又は随意として真空バッグ内で大気圧によって押し合わせることによって、前記ブリッジ領域内に前記界面ゲルを着座させることを含み、
    (b)前記電極板は、シリコン、グラファイト、又はシリコン炭化物の板であり、前記バッキング板は、アルミニウム、グラファイト、又はシリコン炭化物の板であり、
    (c)前記電極板の前記上面に対して前記界面ゲルを付着することは、転写シートを使用することを含み、
    (d)前記バッキング板の前記底面を前記界面ゲルにあてがうことは、前記バッキング板の前記底面をあてがう前に前記界面ゲルから転写シートを剥ぎ取ることを含み、且つ/或いは
    (e)前記電極板は、内部シャワーヘッド電極及び外部リング電極を含み、前記バッキング板は、円形内部バッキング板及び外部バッキングリングを含み、前記界面ゲルは、前記内部シャワーヘッド電極と前記内部バッキング板との間及び/又は前記外部リング電極と前記外部バッキング電極との間でブリッジ領域内に配される、方法。
  21. 請求項15に記載の複合シャワーヘッド電極アセンブリのためのコンポーネントを結合する方法であって、更に、
    前記電極板の前記上面と前記バッキング板の前記底面との間で少なくとも1つのブリッジ領域内に熱伝導性で且つ導電性のガスケットを付着することを備える方法。
  22. 請求項15に記載の複合シャワーヘッド電極アセンブリのためのコンポーネントを結合する方法であって、
    前記バッキング板の前記底面を前記電極板の前記上面に位置合わせすることは、更に、位置合わせピン、位置合わせ穴、位置合わせマーク、光センサ、又はこれらの組み合わせを使用することを含み、前記バッキング板及び/又は前記電極板は、前記位置合わせピン、前記位置合わせ穴、及び/又は前記位置合わせマークを含む方法。
  23. プラズマ処理装置内で半導体基板を処理する方法であって、
    プラズマ処理装置の反応チャンバ内の基板サポート上に基板を配することと、
    請求項1に記載の複合シャワーヘッド電極アセンブリによって、前記反応チャンバにプロセスガスを導入することと、
    前記反応チャンバ内の前記シャワーヘッド電極アセンブリと前記基板との間で、前記プロセスガスからプラズマを発生させることと、
    前記基板を前記プラズマによって処理することと、
    を備える方法。
  24. 請求項23に記載の方法であって、
    前記処理することは、前記基板をプラズマエッチングすることを含む方法。
  25. プラズマ処理装置内でプラズマを発生させるための電極板であって、
    バッキング板の底面に組み付けられる上面、プラズマ曝露底面、及びそれらの間に伸びるガス通路と、
    前記上面上に所定のパターンでブリッジ領域内に配された界面ゲル、及び非ブリッジ領域内に入口を有するガス通路と、
    を備える電極板。
  26. 請求項24に記載の電極板であって、更に、
    前記界面ゲルを覆う転写シート、及び/又は前記電極板の前記上面上で少なくとも1つのブリッジ領域内に配された熱伝導性で且つ導電性のガスケットを備える電極板。
JP2011522972A 2008-08-15 2009-08-06 プラズマ処理装置のための複合シャワーヘッド電極アセンブリ Active JP5490119B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/222,778 US8147648B2 (en) 2008-08-15 2008-08-15 Composite showerhead electrode assembly for a plasma processing apparatus
US12/222,778 2008-08-15
PCT/US2009/004495 WO2010019197A2 (en) 2008-08-15 2009-08-06 A composite showerhead electrode assembly for a plasma processing apparatus

Publications (3)

Publication Number Publication Date
JP2012500471A true JP2012500471A (ja) 2012-01-05
JP2012500471A5 JP2012500471A5 (ja) 2012-09-20
JP5490119B2 JP5490119B2 (ja) 2014-05-14

Family

ID=41669523

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011522972A Active JP5490119B2 (ja) 2008-08-15 2009-08-06 プラズマ処理装置のための複合シャワーヘッド電極アセンブリ

Country Status (8)

Country Link
US (3) US8147648B2 (ja)
EP (1) EP2316253B1 (ja)
JP (1) JP5490119B2 (ja)
KR (1) KR101573961B1 (ja)
CN (1) CN102124819B (ja)
SG (1) SG192552A1 (ja)
TW (1) TWI590716B (ja)
WO (1) WO2010019197A2 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013254901A (ja) * 2012-06-08 2013-12-19 Toshiba Corp シール材およびエッチング装置
JP2015015466A (ja) * 2013-07-03 2015-01-22 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 複数プレナム/2温度シャワーヘッド
KR20150109463A (ko) * 2013-01-25 2015-10-01 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
KR20180054892A (ko) * 2015-10-08 2018-05-24 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
JP2022501501A (ja) * 2018-09-26 2022-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated プラズマ処理チャンバのための熱伝導スペーサ
KR20230014055A (ko) 2021-07-20 2023-01-27 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 장치에서 사용하는 상부 전극 어셈블리, 상부 전극 어셈블리의 제조 방법, 및, 상부 전극 어셈블리의 재생 방법
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110120651A1 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Showerhead assembly with improved impact protection
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
JP5762798B2 (ja) * 2011-03-31 2015-08-12 東京エレクトロン株式会社 天井電極板及び基板処理載置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9840778B2 (en) * 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
GB201315341D0 (en) * 2013-08-29 2013-10-09 Airbus Operations Ltd An aircraft structure and method of manufacture
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015130343A1 (en) * 2014-02-27 2015-09-03 Intel Corporation Techniques to enable wi-fi direct services application service platform capability negotiation
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104952678A (zh) * 2014-03-28 2015-09-30 中微半导体设备(上海)有限公司 用于等离子体处理的电极组件及其制造方法
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
KR102481432B1 (ko) * 2015-08-10 2022-12-27 삼성전자주식회사 커버 플레이트 및 그를 포함하는 플라즈마 처리 장치
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10062548B2 (en) * 2015-08-31 2018-08-28 Varian Semiconductor Equipment Associates, Inc. Gas injection system for ion beam device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10373810B2 (en) * 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6710783B2 (ja) 2016-06-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10957572B2 (en) * 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111383880B (zh) * 2018-12-27 2023-03-31 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR102198929B1 (ko) 2019-02-28 2021-01-06 세메스 주식회사 기판 처리 장치의 가스 공급 유닛
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112837985B (zh) * 2019-11-22 2023-01-24 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US20210287881A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for tuning semiconductor processes
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220118407A1 (en) * 2020-10-19 2022-04-21 Wyatt Technology Corporation Electrical field flow fractionator
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
TWI827971B (zh) * 2021-09-01 2024-01-01 建佳科技股份有限公司 用於半導體製程的烘烤夾具及其應用設備
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230140126A (ko) 2022-03-29 2023-10-06 주식회사 에스엠티 겔 가스킷용 필름 및 이를 구비한 포장 박스

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006287162A (ja) * 2005-04-05 2006-10-19 Nisshinbo Ind Inc 複合型電極板、それの使用方法及びそれを装着したプラズマエッチング装置
JP2007522647A (ja) * 2003-12-23 2007-08-09 ラム リサーチ コーポレーション プラズマ処理装置用のシャワーヘッド電極アセンブリ
JP2008016727A (ja) * 2006-07-07 2008-01-24 Tokyo Electron Ltd 伝熱構造体及び基板処理装置
WO2008048543A1 (en) * 2006-10-16 2008-04-24 Lam Research Corporation Upper electrode backing member with particle reducing features
WO2008076408A1 (en) * 2006-12-18 2008-06-26 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP2010529303A (ja) * 2007-06-13 2010-08-26 ラム リサーチ コーポレーション 熱伝導性ガスケットおよびoリングを利用する電極アセンブリおよびプラズマ処理室
JP2010529691A (ja) * 2007-06-13 2010-08-26 ラム リサーチ コーポレーション プラズマ処理装置用のシャワーヘッド電極アセンブリ
JP2011508422A (ja) * 2007-12-19 2011-03-10 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
JP2011517834A (ja) * 2008-03-18 2011-06-16 ラム リサーチ コーポレーション 電極アセンブリ及び熱伝導ガスケットを用いるプラズマ処理チャンバ
JP2011523229A (ja) * 2008-06-09 2011-08-04 ラム リサーチ コーポレーション プラズマ処理装置のためのシャワーヘッド電極アセンブリ
JP2011527520A (ja) * 2008-07-07 2011-10-27 ラム リサーチ コーポレーション クランプ式シャワーヘッド電極組立体

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06333878A (ja) 1993-05-18 1994-12-02 Anelva Corp プラズマエッチング装置
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
TW484187B (en) 2000-02-14 2002-04-21 Tokyo Electron Ltd Apparatus and method for plasma treatment
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
JP4583618B2 (ja) 2001-01-30 2010-11-17 日本高周波株式会社 プラズマ処理装置
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
FR2827019B1 (fr) * 2001-07-06 2003-09-26 Defontaine Sa Dispositf de graissage automatique de roulements a billes equipant notamment des eoliennes
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3868341B2 (ja) 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
KR101075046B1 (ko) * 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
TW200520632A (en) * 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
US7677480B2 (en) * 2003-09-29 2010-03-16 Bowles Fluidics Corporation Enclosures for fluidic oscillators
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060051517A1 (en) * 2004-09-03 2006-03-09 Eastman Kodak Company Thermally controlled fluidic self-assembly method and support
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007522647A (ja) * 2003-12-23 2007-08-09 ラム リサーチ コーポレーション プラズマ処理装置用のシャワーヘッド電極アセンブリ
JP2006287162A (ja) * 2005-04-05 2006-10-19 Nisshinbo Ind Inc 複合型電極板、それの使用方法及びそれを装着したプラズマエッチング装置
JP2008016727A (ja) * 2006-07-07 2008-01-24 Tokyo Electron Ltd 伝熱構造体及び基板処理装置
WO2008048543A1 (en) * 2006-10-16 2008-04-24 Lam Research Corporation Upper electrode backing member with particle reducing features
WO2008076408A1 (en) * 2006-12-18 2008-06-26 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP2010529303A (ja) * 2007-06-13 2010-08-26 ラム リサーチ コーポレーション 熱伝導性ガスケットおよびoリングを利用する電極アセンブリおよびプラズマ処理室
JP2010529691A (ja) * 2007-06-13 2010-08-26 ラム リサーチ コーポレーション プラズマ処理装置用のシャワーヘッド電極アセンブリ
JP2011508422A (ja) * 2007-12-19 2011-03-10 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
JP2011517834A (ja) * 2008-03-18 2011-06-16 ラム リサーチ コーポレーション 電極アセンブリ及び熱伝導ガスケットを用いるプラズマ処理チャンバ
JP2011523229A (ja) * 2008-06-09 2011-08-04 ラム リサーチ コーポレーション プラズマ処理装置のためのシャワーヘッド電極アセンブリ
JP2011527520A (ja) * 2008-07-07 2011-10-27 ラム リサーチ コーポレーション クランプ式シャワーヘッド電極組立体

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013254901A (ja) * 2012-06-08 2013-12-19 Toshiba Corp シール材およびエッチング装置
US9111969B2 (en) 2012-06-08 2015-08-18 Kabushiki Kaisha Toshiba Seal member, etching apparatus, and a method of manufacturing a semiconductor device
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP2016511935A (ja) * 2013-01-25 2016-04-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 取り外し可能なガス分配プレートを有するシャワーヘッド
KR102073941B1 (ko) * 2013-01-25 2020-02-05 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
JP2018049830A (ja) * 2013-01-25 2018-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 取り外し可能なガス分配プレートを有するシャワーヘッド
KR102196995B1 (ko) * 2013-01-25 2020-12-30 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
KR20150109463A (ko) * 2013-01-25 2015-10-01 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
CN107578976A (zh) * 2013-01-25 2018-01-12 应用材料公司 具有可拆卸式气体分配板的喷淋头
KR20200013121A (ko) * 2013-01-25 2020-02-05 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
JP2015015466A (ja) * 2013-07-03 2015-01-22 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 複数プレナム/2温度シャワーヘッド
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
KR20180054892A (ko) * 2015-10-08 2018-05-24 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
KR102537309B1 (ko) 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
JP2022501501A (ja) * 2018-09-26 2022-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated プラズマ処理チャンバのための熱伝導スペーサ
JP7369183B2 (ja) 2018-09-26 2023-10-25 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバのための熱伝導スペーサ
KR20230014055A (ko) 2021-07-20 2023-01-27 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 장치에서 사용하는 상부 전극 어셈블리, 상부 전극 어셈블리의 제조 방법, 및, 상부 전극 어셈블리의 재생 방법

Also Published As

Publication number Publication date
TW201012309A (en) 2010-03-16
CN102124819B (zh) 2014-02-26
US20130280914A1 (en) 2013-10-24
KR20110049800A (ko) 2011-05-12
TWI590716B (zh) 2017-07-01
JP5490119B2 (ja) 2014-05-14
US20100184298A1 (en) 2010-07-22
EP2316253A2 (en) 2011-05-04
WO2010019197A3 (en) 2010-05-14
WO2010019197A2 (en) 2010-02-18
US8147648B2 (en) 2012-04-03
US20120171871A1 (en) 2012-07-05
SG192552A1 (en) 2013-08-30
EP2316253A4 (en) 2013-08-07
US8484846B2 (en) 2013-07-16
US9064909B2 (en) 2015-06-23
CN102124819A (zh) 2011-07-13
EP2316253B1 (en) 2018-05-23
KR101573961B1 (ko) 2015-12-11

Similar Documents

Publication Publication Date Title
JP5490119B2 (ja) プラズマ処理装置のための複合シャワーヘッド電極アセンブリ
JP5567494B2 (ja) 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
JP5265700B2 (ja) プラズマ処理装置用の複合シャワーヘッド電極組立体
CN111446145B (zh) 用于高温处理的具有金属结合背板的静电定位盘组件
JP5560267B2 (ja) プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法
US20160276196A1 (en) Ceramic electrostatic chuck bonded with high temperature polymer bond to metal base
TWI622123B (zh) 具有微溝槽不黏表面之安裝夾具及安裝彈性體帶的方法
US20200035535A1 (en) Metal bonded electrostatic chuck for high power application
CN107611065B (zh) 附着材料和半导体腔室部件

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120806

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120806

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130702

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131001

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131008

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140225

R150 Certificate of patent or registration of utility model

Ref document number: 5490119

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250