JP2015015466A - 複数プレナム/2温度シャワーヘッド - Google Patents

複数プレナム/2温度シャワーヘッド Download PDF

Info

Publication number
JP2015015466A
JP2015015466A JP2014130967A JP2014130967A JP2015015466A JP 2015015466 A JP2015015466 A JP 2015015466A JP 2014130967 A JP2014130967 A JP 2014130967A JP 2014130967 A JP2014130967 A JP 2014130967A JP 2015015466 A JP2015015466 A JP 2015015466A
Authority
JP
Japan
Prior art keywords
plenum
showerhead
face plate
faceplate
shower head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014130967A
Other languages
English (en)
Other versions
JP6355450B2 (ja
JP2015015466A5 (ja
Inventor
ラメッシュ・チャンドラセカーラン
Chandrasekharan Ramesh
ジェニファー・エル.・ペトラグリア
L Petraglia Jennifer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2015015466A publication Critical patent/JP2015015466A/ja
Publication of JP2015015466A5 publication Critical patent/JP2015015466A5/ja
Application granted granted Critical
Publication of JP6355450B2 publication Critical patent/JP6355450B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Abstract

【課題】半導体処理機器で使用するための2温度/複数プレナムシャワーヘッドを提供する。【解決手段】シャワーヘッド100は、第1のプレナム容積部102と、第2のプレナム容積部104とフェイズプレート110と、プレナム分割体108を含み、複数の個別のガスを、シャワーヘッド内部でガスをほぼ分離させたままウェハ反応領域に供給する。さらに、シャワーヘッド100は、シャワーヘッドのフェイスプレート110をシャワーヘッドの残りの部分よりもかなり高い温度で維持できるように構成する。【選択図】図1A

Description

半導体処理機器において、シャワーヘッドは、所望の様式で、たとえば均等に分散された様式で半導体ウェハにわたってプロセスガスを分散させるために使用されることがよくある。そのようなシャワーヘッドは、典型的には、シャワーヘッドの外側に通じる複数のガス分散穴を備えるフェイスプレートによって画定されるプレナムを含む。フェイスプレートは、典型的には、半導体処理または反応チャンバ内部のウェハ反応領域に面し、ウェハは、通常は、処理チャンバ内部でフェイスプレートの下に配置され、たとえばフェイスプレートの下の位置にウェハを支持するウェハ支持体またはペデスタル(台座)上に配置される。
本明細書で述べる主題の1つまたは複数の実装形態の詳細を、添付図面および以下の説明に記載する。他の特徴、態様、および利点は、本明細書における記載、図面、および特許請求の範囲から明らかであろう。以下の図の相対寸法は、縮尺通りの図面であることが特に明記されていない限り、縮尺通りには描かれていないことがあることに留意されたい。
いくつかの実装形態では、半導体処理機器で使用するためのシャワーヘッドが提供される。このシャワーヘッドは、第1のプレナム容積部と、第2のプレナム容積部と、フェイスプレートと、プレナム分割体とを含むことがある。プレナム分割体は、第1の面と、プレナム分割体の第1の面とは逆側の第2の面とを有することがあり、フェイスプレートは、第1の面と、フェイスプレートの第1の面とは逆側の第2の面とを有することがある。第1のギャップを形成するように、フェイスプレートの第1の面は、プレナム分割体の第2の面に面し、プレナム分割体の第2の面から第1の距離だけずらして配されることがある。プレナム分割体の第1の面は、第1のプレナム容積部を部分的に画定することがあり、第2のプレナム容積部は、第1のギャップ内部でプレナム分割体とフェイスプレートとの間に位置することがある。プレナム分割体は、複数の管状構造を含むことがあり、管状構造は、プレナム分割体の第2の面から第2の距離だけ突出し、各管状構造が、外面と、管状構造およびプレナム分割体を通過する第1の貫通穴とを有する。さらに、フェイスプレートは、複数の第2の貫通穴を含むことがあり、各第2の貫通穴が、管状構造の異なる1つに対応し、対応する管状構造の外面から少なくとも第3の距離だけずれた内面を有する。第1の距離は、第2の距離未満であることがあり、フェイスプレートは、プレナム分割体から実質的に伝熱的に隔離されることがある。
いくつかの実装形態では、フェイスプレートとプレナム分割体は、それらの間で0.05W/(in2K)以下の熱伝導率を有するように構成されることがある。
シャワーヘッドのいくつかの実装形態では、シャワーヘッドは、さらにバックプレートを含むことがある。そのような実装形態では、第1のプレナム容積部は、バックプレートによっても部分的に画定されることがあり、バックプレートは、複数の内部冷却経路を含むことがあり、能動的に冷却される(actively cooled)ように構成されることがある。
いくつかの実装形態では、プレナム分割体は、プレナム分割体の内部でクーラントを循環させるように構成された1つまたは複数の内部冷却経路を含むことがある。
いくつかの実装形態では、シャワーヘッドは、さらに、無線周波数発生器に接続され、かつ第1のガス入口に流体接続されたプラズマドームを含むことがある。また、第1のプレナム容積部は、プラズマドームによっても部分的に画定されることがあり、プラズマドームおよびRF発生器は、第1のガス入口からのガスを使用して第1のプレナム容積部内部でプラズマを発生させるように構成されることがある。
いくつかの実装形態では、フェイスプレートは、複数の第2の貫通穴に加えて、複数の第3の貫通穴を有することがあり、第3の貫通穴は、対応する管状構造を有さないことがある。
いくつかの実装形態では、管状構造は、実質的に円筒形でよく、複数の第1の貫通穴と複数の第2の貫通穴は、実質的に円形でよく、各第2の貫通穴の内面と各対応する管状構造の外面との間のずれが、第2の貫通穴と対応する管状構造との間に環状ギャップ領域を生じることがある。
シャワーヘッドのいくつかの実装形態では、第3の距離は、約0.1インチ未満であることがある。シャワーヘッドの他の実装形態では、第3の距離は、約0.005インチ〜0.020インチの間であることがある。
シャワーヘッドのいくつかの実装形態では、各管状構造は、対応する第2の貫通穴の近傍のフェイスプレートの第2の面と実質的に面一の位置で終端することがある。
シャワーヘッドのいくつかの実装形態では、シャワーヘッドは、さらに、第1のガス入口と、第2のガス入口とを含むことがある。第1のガス入口は、第1のプレナム容積部にガスを供給するように構成されることがあり、第2のガス入口は、第2のプレナム容積部にガスを供給するように構成されることがある。第2のガス入口は、実質的に管状でよく、プレナム分割体を通過することがあり、フェイスプレートの第1の面とプレナム分割体の第2の面との間にまたがることがある。また、第2のガス入口は、複数の径方向ガス分散穴の円形アレイを有することもあり、各径方向ガス分散穴が、第2のガス入口を第2のプレナム容積部と流体接続させる。
いくつかの実装形態では、シャワーヘッドは、さらに、1つまたは複数の低接触面積(LCA)要素と、内周を有する第1の低熱伝導バリアとを含むことがある。1つまたは複数のLCA要素は、プレナム分割体に対してフェイスプレートを支持することがあり、第1の低熱伝導バリアは、第1のギャップをまたぐように、フェイスプレートとプレナム分割体との間に配されていることがある。第1の低熱伝導バリアは、第1の低熱伝導バリアの内周の内側に複数の第2の貫通穴および複数の第3の貫通穴が位置するように配されることがある。
シャワーヘッドのいくつかの実装形態では、フェイスプレートからシャワーヘッドの他の構成要素への熱伝導経路の実質的に全てが、1つまたは複数のLCA要素および第1の低熱伝導バリアによって提供されることがある。
シャワーヘッドのいくつかの実装形態では、1つまたは複数のLCA要素は、第1の低熱伝導バリアに巡らせて間隔を空けて配置された複数のポストによって提供されることがあり、ポストは、フェイスプレートを張力下で支持するように構成される。
シャワーヘッドのいくつかの実装形態では、シャワーヘッドは、さらにカラーを含むことがあり、カラーは、少なくとも第4の距離だけフェイスプレートからずらして配されたカラー内面を有し、フェイスプレートよりも小さい内部アパーチャを有する。1つまたは複数のLCA要素は、圧縮下でフェイスプレートの第2の面を支持する複数のLCAボールによって提供されることがある。LCAボールは、カラー内面とフェイスプレートとの間に位置することがある。
シャワーヘッドのいくつかの実装形態では、シャワーヘッドは、さらに、内周を有する第2の低熱伝導バリアを含むことがある。第2の低熱伝導バリアは、第1のギャップをまたぐように、フェイスプレートとプレナム分割体との間に配されていることがあり、第1の低熱伝導バリアは、第2の低熱伝導バリアの内周の内側に位置することがある。
シャワーヘッドのいくつかの実装形態では、フェイスプレートからシャワーヘッドの他の構成要素への熱伝導経路の実質的に全てが、1つまたは複数のLCA要素、第1の低熱伝導バリア、および第2の低熱伝導バリアによって提供されることがある。
シャワーヘッドのいくつかの実装形態では、第3のプレナム容積部が、フェイスプレートの第1の面、プレナム分割体の第2の面、第1の低熱伝導バリア、および第2の低熱伝導バリアによって少なくとも部分的に画定されることがある。また、フェイスプレートは、複数の内部流路と、フェイスプレートの第1の面にある複数の第3の穴とを含むこともある。そのような実装形態では、各第3の穴が、複数の内部流路の1つと、フェイスプレートの第1の面との間をまたぐことがあり、各第3の穴が、第1の低熱伝導バリアと第2の低熱伝導バリアとの間の領域内で、フェイスプレートの第1の面に抜けることがある。
シャワーヘッドのいくつかの実装形態では、第1のプレナム容積部、第2のプレナム容積部、および第3のプレナム容積部が全て、別個のガス入口から供給されることがある。
シャワーヘッドのいくつかの実装形態では、複数の第2の貫通穴は、複数の内部流路に交差することがあり、それにより、複数の第2の貫通穴は、フェイスプレート内部の複数の内部流路と流体連絡することがある。
いくつかの実装形態では、フェイスプレートは、さらに、フェイスプレートの第2の面に複数の第4の穴を含むことがあり、各第4の穴が、複数の内部流路の1つと、フェイスプレートの第2の面との間をまたぐことがある。いくつかのそのような実装形態では、複数の第4の穴は、フェイスプレート内部の複数の第2の貫通穴から流体的に隔離されることがある。
複数プレナム/2温度シャワーヘッドの一例の概念的な断面概略図である。
1つまたは複数の冷却経路を設けられた図1Aの複数プレナム/2温度シャワーヘッドの一例の概念的な断面概略図である。
複数プレナム/2温度シャワーヘッドの別の例の概念的な断面概略図である。
3つのプレナムを備える複数プレナム/2温度シャワーヘッドの一例の概念的な断面概略図である。
ガスの流れが示された、図3Aの例示的な複数プレナム/2温度シャワーヘッドの概念的な断面概略図である。
プラズマドームと連係された、複数プレナム/2温度シャワーヘッドの一例の概念的な断面概略図である。
2プレナム/2温度シャワーヘッドの一例の斜視図である。
図5Aからの2プレナム/2温度シャワーヘッドの例の斜視断面図である。
破線の長方形で囲まれた図5Aの部分の詳細図である。
図5Aからの2プレナム/2温度シャワーヘッドの例の3次元分解断面図である。
図5Dの一部の詳細図である。
3プレナム/2温度シャワーヘッドの一例の斜視図である。
図6Aからの3プレナム/2温度シャワーヘッドの例の斜視断面図である。
破線の長方形で囲まれた図6Aの部分の詳細図である。
図6Aからの3プレナム/2温度シャワーヘッドの例の3次元分解断面図である。
3プレナム/2温度シャワーヘッドの別の例の斜視図である。
図7Aからの3プレナム/2温度シャワーヘッドの例の斜視図である。
破線の長方形で囲まれた図7Aの部分の詳細図である。
図7Aからの3プレナム/2温度シャワーヘッドの例の3次元分解断面図である。
図1A〜図4は、縮尺通りには描かれていないことがある。図5A〜図7Dは、縮尺通りに描かれている。
様々な実装形態の例を添付図面に示し、以下にさらに述べる。本明細書における論述は、説明する特定の実装形態に特許請求の範囲を限定することは意図されていないことを理解されたい。逆に、添付の特許請求の範囲によって定義される本開示の精神および範囲内に含まれ得るものとして代替形態、修正形態、および均等形態を網羅することが意図される。以下の説明では、本開示を完全に理解できるように、いくつかの特定の詳細を述べる。本開示は、それらの特定の詳細のいくつかまたは全てを伴わずに実施することもできる。なお、他の例では、本開示を不要に曖昧にしないように、周知のプロセス操作については詳細には述べていない。
本明細書では、様々な設計概念を例示する様々な半導体処理シャワーヘッド設計を述べる。これらの設計概念は、2つ以上のプロセスガスのうちの少なくとも2つをシャワーヘッド内部で実質的に異なる温度で保ちながら、2つ以上のプロセスガスをウェハ反応領域に個別に提供することが可能なシャワーヘッドを提供するように、様々な様式で組み合わせることができる。
図面および本開示における論述の多くで、いくつかの慣例を採用している。たとえば、様々な箇所で、「容積部(volume)」、たとえば「プレナム容積部」に言及する。この容積部は、一般的に、様々な図中に破線、点線、一点鎖線を使用して示されることがある。そのような線は、そのような容積部の概略を表し、実際の容積部は、たとえばそれらの容積部を画定する様々な固体表面まで延びていることがあることを理解されたい(見やすくするために、図中でそのような容積部を表す線は、所与の容積部のいくつかの部分を画定することがある様々な構造からわずかにずらされている)。様々なより小さい容積部、たとえば、それ以外の位置では中実のプレナム容積部境界面を通って延びるガス入口または他の穴が、プレナム容積部に流体接続されることがある。
さらに、図面は、特定の図中に複数の同様の構造を示すことがよくある。紙面の都合上、図中の特定の要素の各例に符号を付けることは、一般に可能でない。したがって、ある要素が図中に複数示されることがあるが、1つまたは2つにしか符号が付されていないことがある。所与の図中で符号付きの構造または要素と同様の符号付きでない構造または要素も、その符号に対応するものと認識すべきであることを理解されたい。
「上方」、「上」、「下方」、「下」など相対的な用語の使用は、シャワーヘッドの通常使用中の構成要素の向き、すなわちウェハ処理操作中にウェハに向けて下向きにガスを分散するようにシャワーヘッドが向けられた状態での構成要素の向きに関する、それらの構成要素の空間的な関係を表すものと解釈すべきであることを理解されたい。同様に、「張力下」または「圧縮下」などの用語は、シャワーヘッドが通常使用されているときの構成要素の状態を表すものと理解されたい。
また、本明細書において、「熱伝導」経路に言及するとき、そのような言及は、たとえばシャワーヘッド内部に存在し得る気体環境を通る熱伝導ではなく、物理的な構造的特徴によって提供される熱伝導経路を表すことを理解されたい。
図1Aは、複数プレナム/2温度シャワーヘッドの一例の概念的な断面概略図を示す。シャワーヘッド100は、第1のプレナム容積部102と第2のプレナム容積部104を含む複数のプレナム容積部を含むことがある。プレナム分割体108が、第1のプレナム容積部102と第2のプレナム容積部104との間に配されることがあり、それにより、プレナム分割体108の第1の面112が、第1のプレナム容積部102を少なくとも部分的に画定し、プレナム分割体108の第2の面114が、第2のプレナム容積部104を少なくとも部分的に画定する。
第1のプレナム容積部102は、第1のプレナム容積部102の内部にプロセスガスを閉じ込めるように設計されたバックプレート182または他の構造、たとえばプラズマドームによってさらに画定されることがある。バックプレートは、1つまたは複数の第1のガス入口144を有することがあり、第1のガス入口144は、第1のプレナム容積部102内に第1のプロセスガスを送給するように構成される。
第2のプレナム容積部104は、第1の面116と第2の面118を有するフェイスプレート110によってさらに画定されることがある。フェイスプレート110の第1の面116は、第1のギャップ128を定義する第1の距離120だけ、プレナム分割体108の第2の面114からずらして配されることがある。第2のプレナム容積部104は、第1の低熱伝導バリア154、たとえばリング形状の薄い金属シールによってさらに画定されることがある。フェイスプレートの第1の面116と、プレナム分割体108の第2の面114との間で第1の低熱伝導バリア154を圧縮して、シールを形成することができる。第1の低熱伝導バリア154および任意の同様のバリアによって提供されるシールによって、周囲雰囲気条件と真空条件との間の圧力差に対する封止が可能であることがあるが、半導体処理環境内で使用される圧力はかなり低いので、第1の低熱伝導バリア154は、より低い封止性を提供するように選択されてもよく、たとえば、周囲雰囲気条件と真空との間の圧力差に対する封止は可能ではないが、より低圧のウェハ処理操作中に受ける圧力差、すなわち低い圧力差に対しては第2のプレナム容積部104を実質的に封止することが可能であるものでもよい。
第1の低熱伝導バリア、および本明細書で提供される例で使用される他の可能な低熱伝導バリアは、(低熱伝導バリアを通る第1のプレナム容積部または他のプレナム容積部からのガス流を防止または阻止するために)ガス流に対して実質的に不浸透性であり、かつ低い熱伝導率を有する様々な構造の任意のものを使用して提供することができることを理解されたい。図示される例では、低熱伝導バリアは、(径方向断面で)熱流路を提供し、この熱流路は、高い長さ対幅(したがって断面積)の比を有し、これは、たとえば、ガスプレナムを通常画定するOリングまたは固体構造要素など他の可能なバリアと比較して、熱伝導率を大幅に減少させる。
低熱伝導バリアは、シャワーヘッドの環境条件(温度や化学的環境など)に適した低熱伝導率の材料から形成することができ、そのような材料は、たとえば、ステンレス鋼、またはインコネルなど高Ni含有量の鋼合金であり、これらは、半導体処理環境に耐えることができ、またAl合金に比べて低い熱伝導率を提供する。低熱伝導バリアを形成することができる別の可能な材料は、タングステンである。
低熱伝導バリアは環状シールの形態を取ることがあるが、低熱伝導バリアの多くの断面幾何形状が使用され得る。たとえば、図示されるシールは、概して「M」字形または「W」字形のシールとして表されることがある断面形状を有するが、「S」字形、「C」字形、「N」もしくは「Z」字形、または「V」字形の断面形状、および任意の特定のアルファベットに対応しない断面形状が使用されてもよい。一般的に言って、低熱伝導バリアは、蛇行経路に従い、それ自体に接触しない断面を有することがある。したがって、そのような低熱伝導バリアは、低熱伝導バリア断面の蛇行長さよりもかなり小さいギャップをまたぐことがある。したがって、ギャップにわたる低熱伝導バリアの熱抵抗は、低熱伝導バリアができるだけ短い場合の抵抗、すなわちフェイスプレートとプレナム分割体との間のギャップをまたぐのにちょうど足りる長さの場合の抵抗よりもかなり高い。
いくつかの実装形態では、低熱伝導バリアの蛇行長さは、0.5〜1.5インチ程度でよく、低熱伝導バリア材料の厚さは、0.003〜0.009インチ程度でよい。さらに、いくつかの実装形態では、低熱伝導バリアは、フェイスプレートの総表面積の約1%以下にしか接触しないことがある。
第2のプレナム容積部104は、第2のガス入口146と流体接続されることがある。図示される例では、第1のガス入口144と第2のガス入口146が同軸に配置され、第2のガス入口146が、中心にあり、プレナム分割体108を通過し、第2のプレナム容積部104内に突出する。径方向ガス分散穴150の円形アレイが、第2のプレナム容積部104内に突出する第2のガス入口146の部分の外周に巡らせて間隔を空けて配置されることがあり、第2のガス入口146を通って流れるガスが、実質的に径方向で対称的に第2のプレナム容積部104内に流れることができるようにする。第2のガス入口146は、フェイスプレート110で終端することがあり、または図示されるようにフェイスプレート110に到達する前に終端することがある(したがってフェイスプレート110に接触しないことがある)。
第1のプロセスガス入口144内に流れるガスは、第1のガス入口144と第2のガス入口146との間の環状空間を通り、次いで第1のプレナム容積部102内に流れることができる。図示される同軸構成の代わりに他のガス入口構成が使用されることもある。たとえば、図示される同軸構成は、中心−縁部供給型のプレナムに適していることがあるが、他のシャワーヘッド幾何形状は、縁部−中心供給型のプレナムを利用することがあり、この場合には、ガス入口の1つまたは複数がシャワーヘッドの外周縁付近に位置することがある。
フェイスプレート110は、シャワーヘッド100の他の構成要素から実質的に熱的に隔離されることがある。たとえば、フェイスプレート110は、他の構成要素との部品間接触が最小限であることがあり、フェイスプレートとシャワーヘッド100の残りの要素との間の伝導伝熱を減少させる、または最小限にする。理想的な場合には、フェイスプレート110は、シャワーヘッド100内の他の構成要素に対して空間内で浮動する。しかし、実用を考慮すると、フェイスプレート110とシャワーヘッド100の他の構成要素とのいくらかの接触が存在することが必要とされる。たとえば、フェイスプレート110を空間内で物理的に支持しなければならず、また、第1のギャップ128内で第2のプレナム容積部104を部分的に画定するために、ある種の構造が必要とされることがある。いくつかの実装形態では、フェイスプレートは、1つまたは複数の電極、あるいは電流供給源を必要とする他のデバイスを含むこともある。そのような実装形態では、フェイスプレート110とシャワーヘッド100の他の構成要素との間に1つまたは複数の導電性接点を提供することが望ましいことがあり、またはその必要があることがある。フェイスプレート110とシャワーヘッド100の残りの要素との間の任意のそのような接続は、フェイスプレート110とシャワーヘッド100の残りの要素との間の伝熱経路として働くことがある。
一般的に言って、フェイスプレート110とシャワーヘッド100の残りの要素、たとえば低熱伝導バリアおよび低接触面積(LCA)要素とを接続する構造の総計の熱伝導率は、1W/(in2K)程度となることがある多くの従来のフェイスプレートで見られるOリングシールまたは金属間接点の熱伝導率に比べて、約0.05W/(in2K)の値に制約することができる。この制約に従うことができるシャワーヘッドを実装する多くの方法があり得る。図示される設計は1つの手法のみを示すが、他の手法も本開示の範囲内にあることを理解されたい。
図1Aで、フェイスプレート110は、複数のLCA要素152によって支持される。図示される例では、LCA要素152は、LCAボールの使用によって提供され、LCAボールは、フェイスプレート110と、プレナム分割体108に接続されたカラー170との間に配された球状ボール168である。フェイスプレート110がカラー170に対して径方向に摺動するのを実質的に防止するために、球状ボール168は、フェイスプレート110およびカラー170にある溝または凹部に嵌められることがある。球状ボール168は、フェイスプレート110の重量によって圧縮を受けることがあり、また任意の生じ得るばね力を受けることもあり、そのようなばね力は、カラー170がシャワーヘッド100に取り付けられるときにフェイスプレート110とプレナム分割体108との間で圧縮されることがある第1の低熱伝導バリア154または他の構成要素によって提供される。球状ボール168に対する圧縮負荷は、実質上、球状ボール168がフェイスプレート110またはシャワーヘッド100の残りの要素に接触する位置での点負荷または縁部負荷として球状ボール内に伝達されることがある。これらの圧縮負荷経路は伝熱経路として働くこともあるが、接触パッチでのそのような経路の断面積が非常に小さいので、そのような経路の熱伝導率は非常に小さいことがある。LCA要素152は、フェイスプレート110をカラー170のカラー表面から第4の距離126だけ離して配置するように働くことがある。
また、望みであれば非球状のボールを使用することもできるが、そのようなLCA要素152は、接触面積が、フェイスプレート110およびシャワーヘッド100の残りの構成要素に対するボールの向きに依存することがあるので、使用がより難しいことがある。当然、小さな断面積のポストや縁部接点など、LCAボール以外の他のLCA要素152が使用されてもよい。そのような要素は、カラー170に機械加工されることがある。
上述した構造は、第1のプレナム容積部102および第2のプレナム容積部104、ならびに両方のプレナム容積部へのガス入口を提供する。次に、各プレナム容積部からウェハ反応領域への、すなわちシャワーヘッド100の下でのガス分散を容易にする構造および特徴について論じる。
プレナム分割体108は、プレナム分割体108の第2の面114から突出する複数の管状構造132を含むことがある。管状構造132は、プレナム分割体108の全体の構造と連続していてよく、たとえばプレナム分割体108と管状構造132が全て共通の材料ビレットから機械加工されることがあり、または単一の鋳造もしくは成形部品から製造されることがあり、あるいは別個の構造でもよく、たとえばプレナム分割体108に蝋付け、拡散結合、接着、圧入、または他の形で装着された管でよい。管状構造132はそれぞれ、外面134と、第1の貫通穴136とを有することがある。管状構造132は、プレナム分割体108の第2の面114から第2の距離122だけ突出することがある。第2の距離122は、第1の距離120よりも長い。
フェイスプレート110も、複数の第2の貫通穴138を有することがある。第2の貫通穴138は、管状構造132よりも大きくサイズ設定されることがある。たとえば、管状構造132の外面134と第2の貫通穴138の内面142との間に、少なくとも第3の距離124のずれ(一定または不定)が存在することがある。このずれは、円形の管状構造132および円形の第2の貫通穴138の場合には、管状構造132と第2の貫通穴138との間に環状ギャップ130を形成することがある。不定の第3の距離124を有する実装形態では、第3の距離124は、各管状構造132および第2の貫通穴138に関して変わることがある。たとえば、通常の製造公差により、第2の貫通穴138に対して配する際に管状構造が何らかの相対的な不一致となることがある。代替または追加として、管状構造132と第2の貫通穴138との間の公称のずれは、管状構造132の位置に応じて変化するように設定されることがある。たとえば、シャワーヘッド100は、フェイスプレート110の中心付近よりもフェイスプレート110の周縁付近の管状構造に関して第3の距離124を大きくすることができるように設計されることがある。これは、各第2の貫通穴138を通して送給される流量を径方向位置に応じて調整できるようにすることがある。
いくつかの実装形態では、第2の距離122は、第1の距離120よりも長いだけでなく、対応する第2の貫通穴138の近傍におけるフェイスプレート110の第2の面118と実質的に面一の位置で各管状構造132が終端するように十分に長い。しかし、いくつかの他の実装形態では、管状構造132は、第2の貫通穴138の内部の位置で終端することがあり、またはフェイスプレート110の第2の面118を越えた位置で終端することがある。
図1Bは、1つまたは複数の冷却経路を設けられた図1Aの複数プレナム/2温度シャワーヘッドの一例の概念的な断面概略図を示す。これらの経路を本明細書では「冷却」経路と呼ぶが、そのような言及は、加熱のためまたはより一般的に温度制御のためにそのような経路が使用されることがある実装形態を包含するものと意図されることを理解されたい。したがって、シャワーヘッド温度を上昇または下降させるために、冷却経路を通して流体を流すことができる。見て分かるように、第1のプレナム102は、プレナム分割体108を通してクーラントを循環させることができる冷却経路178の使用により、冷却されることがある。代替実装形態は、プレナム分割体108の内部で循環されるクーラントに加えて、またはその代替として、バックプレート182を通してクーラントを循環させることができる冷却経路(図示せず)を提供することがある。そのような構成は、半導体処理操作中に第2のプレナム容積部を能動的に冷却できるようにすることがある。同時に、フェイスプレート110とシャワーヘッド100の残りの要素との間の減少された伝熱経路により、フェイスプレート110は、そのような冷却経路によってもたらされる冷却による影響を比較的受けないことがある。これは、フェイスプレート110を、場合によってはシャワーヘッド100の残りの要素よりもはるかに高温で維持できるようにし、したがって、第2のプレナム容積部104内のガスを、場合によっては第1のプレナム容積部102内のガスが維持されるよりもはるかに高温で維持できるようにする。さらに、フェイスプレート110の第2の面118を、反応領域内の他の表面よりもはるかに高い温度で維持し、したがってより高品質の堆積をウェハ上に提供することができる。
図2は、複数プレナム/2温度シャワーヘッドの別の例の概念的な断面概略図を示す。図2で、シャワーヘッド200の全体的な構造は、図1Aおよび図1Bのシャワーヘッド100の全体的な構造と同様である。以下に論じる図2の特有の構造、要素、および特徴を除いて、図1Aおよび図1Bの構造、要素、および特徴と下2桁が同じ符号を付された図2の構造、要素、または特徴は、上述した図1Aおよび図1Bのそれらの対応する構造、要素、または特徴と実質的に同一であり得ることを理解されたい。繰返しを避けるために、そのような要素の説明については上記の論述を参照されたい。
シャワーヘッド200とシャワーヘッド100の1つの相違点は、シャワーヘッド200のフェイスプレート210が、圧縮支持ではなく張力支持を使用して支持されることである。図2に示されるように、回転可能なカムロック262、すなわちカム機構を介してポスト260と係合するように構成された受取部を有する円筒体の径方向アレイが、プレナム分割体208の周縁(またはシャワーヘッド200の他の部分)に巡らせて位置することがある。ポスト260は、たとえば碍子266およびばね264を介してフェイスプレート210と接続されることがある。ばね264は、コイルばねでよく、または何らかの他の機構によって提供されることがあり、そのような機構は、たとえば、各ポスト260の周りに交互の向きで配置されたベルヴィルワッシャのスタックである。碍子266は、フェイスプレート210の内部に埋め込まれることがあり、または他の形でフェイスプレート210に接続されることがある。碍子266は、形態的特徴部、たとえば内部突起またはショルダを有することがあり、これらは、ポスト260がフェイスプレート210から引き離されるときに、ばね264を圧縮するように働くことがある。また、碍子266は、フェイスプレート210から突出することもある。ポスト260がその対応するカムロック262と係合され、対応するカムロック262が回転されるとき、カムロック262は、ポスト260および最初はフェイスプレート110をプレナム分割体208に向けて引くことを試みることがある。ポスト260およびフェイスプレート110が、最終的に十分な距離だけプレナム分割体に向けて引かれると、碍子266は、プレナム分割体208(または他の構造)に接触することがあり、ポスト260の残りの移動は、主にばね268の圧縮によって対処されることがある。したがって、ポスト260は、張力を受けることがある。
シャワーヘッドの残りの要素に対するフェイスプレートの任意の支持が、張力と圧縮応力の何らかの組合せを含むことを理解されたい。そのような支持が「張力下」であると言う場合、そのような支持は、フェイスプレート支持に起因する平均最大応力が張力の性質を有する構造的構成、たとえば図2のカムロック/ポスト構成での構造的構成を含むことを理解されたい。逆に、そのような支持が「圧縮下」であると言う場合、そのような支持は、フェイスプレート支持に起因する平均最大応力が圧縮力の性質を有する構造的構成、たとえば図1Aおよび図1Bの球状ボール構成での構造的構成を含むことを理解されたい。
見て分かるように、カラー270は、フェイスプレート210の近傍にある段差付きショルダの形状を有さず、フェイスプレート210の直径よりも小さい内径に狭まるテーパ付きのショルダを有する点で、カラー170とわずかに異なる。他の実装形態では、カラー270は、存在しないことがあり、またはフェイスプレート210の外径よりも大きい内径を有することがある。カラー270または異なる設計のカラーは、使用される場合に、フェイスプレート210から少なくとも第4の距離226だけずらして配されることがある。このギャップは、断熱部として働くことがあり、フェイスプレート210とカラー270との間の直接の熱伝導接触を防止する。
図3Aは、3つのプレナムを備える複数プレナム/2温度シャワーヘッドの一例の概念的な断面概略図を示す。図3Bは、ガスの流れが示された、図3Aの例示的な複数プレナム/2温度シャワーヘッドの概念的な断面概略図を示す。図1A、図1B、および図2では、図示されるシャワーヘッドは、送給前にシャワーヘッド内部でガス同士を混合することなく、2つの異なるプロセスガスをウェハ反応領域に送給するように構成された2プレナムシャワーヘッドである。しかし、本明細書で述べる2温度シャワーヘッドの概念は、3つ以上のプレナムを有するシャワーヘッドに適用することもできる。図3Aおよび図3Bは、1つのそのようなシャワーヘッドの一例を示す。
図3Aおよび図3Bにおいて、シャワーヘッド300の全体的な構造は、図2のシャワーヘッド200の全体的な構造と同様である。以下に論じる図3Aおよび図3Bの特有の構造、要素、および特徴を除いて、図2の構造、要素、および特徴と下2桁が同じ符号を付された図3Aおよび図3Bの構造、要素、または特徴は、上述した図2のそれらの対応する構造、要素、または特徴と実質的に同一であり得ることを理解されたい。繰返しを避けるために、そのような要素の説明については上記の論述を参照されたい。
第1のプレナム容積部302および第2のプレナム容積部304に加えて、シャワーヘッド300は、第3のプレナム容積部306も含み、第3のプレナム容積部306は、第1の低熱伝導バリア354と第2の低熱伝導バリア356との間に位置し、かつプレナム分割体308とフェイスプレート310との間に位置する。図示される第2の低熱伝導バリア356は、この例では、第1の低熱伝導バリア354よりも直径が大きい。
第3のプレナム容積部306にガスを提供するように第3のガス入口348を構成することができる。複数の第3の穴340が、第3のプレナム容積部306をフェイスプレート310内部の内部流路374と流体連絡させることができる。この例におけるように、内部流路374は、フェイスプレート310の内部で第2の貫通穴338から流体的に隔離されることがある。この例では、内部流路374は、第4の穴376と流体接続されることがあり、第4の穴376は、内部流路374に送給される第3のプロセスガスをシャワーヘッド300の下のウェハ反応領域に送給できるようにすることがある。
図示される例では、第3のガス入口348は、フェイスプレート310の周縁付近に位置し、したがって、「縁部供給型のガス送給」と呼ばれることがある。他の実装形態では、第3のガス入口348は、たとえば第1のガス入口344および第2のガス入口346を提供する同軸ガス入口構成の一部として、シャワーヘッド300の中心付近での追加の同軸ガス入口によって提供されることがある。「中心供給型のガス送給」と呼ばれるそのような実装形態では、第2の低熱伝導バリア356は、フェイスプレート310およびプレナム分割体308の中心付近に位置する(第1の低熱伝導バリア354よりも直径がかなり小さい)ことがある。一般的に言って、本明細書で開示する熱隔離構造およびストラテジに適合する本明細書で述べるシャワーヘッドと共に使用することができる様々なガスに関して、様々な構成の縁部供給型/中心供給型のガス送給を使用することができる。
図3Bに見られるように、第1のガス入口344、第2のガス入口346、および第3のガス入口348に流されるプロセスガスは、ガスがウェハ反応領域380内に解放されるまで、実質的に分離された流れでシャワーヘッドを通って流れることができる。これは、ウェハ反応領域380への送給前に、シャワーヘッド内部でプロセスガスが混合するのを実質的に防止することができる。そのような流れ分離手法は、シャワーヘッド内部でのプロセスガスの早期の反応(これは、望ましくない堆積または他の副作用を引き起こすことがある)を防止することができる。さらに、流れ分離手法は、少なくとも2つのプロセスガスを、それらのガスがウェハ反応領域380に送給されるまで、全く異なる温度で維持できるようにすることがある。
図4は、プラズマドームと連係された、複数プレナム/2温度シャワーヘッドの一例の概念的な断面概略図を示す。図4で、シャワーヘッド300の全体的な構造は、図3Aおよび図3Bのシャワーヘッド300の全体的な構造と同様である。以下に論じる図4の特有の構造、要素、および特徴を除いて、図3Aおよび図3Bの構造、要素、および特徴と下2桁が同じ符号を付された図4の構造、要素、または特徴は、上述した図3Aおよび図3Bのそれらの対応する構造、要素、または特徴と実質的に同一であり得ることを理解されたい。繰返しを避けるために、そのような要素の説明については上記の論述を参照されたい。
前述した例示的なシャワーヘッドは、バックプレートを装備されている。いくつかの実装形態、たとえば遠隔プラズマ発生が望まれることがある半導体プロセスでの使用を意図されたシャワーヘッドでは、第1のプレナム容積部は、プレナム分割体とプラズマドームによって実質的に画定されることがある。たとえば、図4で、シャワーヘッド400は、バックプレート482を含むことがあり、バックプレート482は、プラズマドーム484をプレナム分割体408と接続する(プラズマドーム484は、プレナム分割体408と直接接続されることもあり、または他の構成要素(図示せず)と接続されることもある)。プラズマドーム484は、たとえばプラズマドーム484の上部中心付近に位置する第1のガス入口444を有することがある。電極486が、プラズマドーム484の周りに配され、マッチングネットワーク488によって無線周波数(RF)発生器490に結合されることがある。RF発生器490は、第1のプロセスガス入口444を通して供給されるガスを使用してプラズマドーム484内部でプラズマを衝突させるために、電極486を介して使用することができる。
前述のシャワーヘッドの例と図4の例との別の相違点は、第2のプレナム容積部404が、フェイスプレート410の周縁に巡らせて間隔を空けて配置された第2のガス入口446を通してガスを提供されることである。これは、プラズマドーム484が、プラズマ発生に干渉することがある内部構造、たとえば中心供給型の第2のガス入口の影響を受けないようにする。
2温度/複数プレナムシャワーヘッドのさらなる例を、そのような実装形態の様々な3次元態様を示すより詳細な図面に関して以下に論じる。
図5Aは、2プレナム/2温度シャワーヘッドの一例の斜視図を示す。図5Bは、図5Aからの2プレナム/2温度シャワーヘッドの例の斜視断面図を示す。図5Cは、破線の長方形で囲まれた図5Aの部分の詳細図を示す。図5Dは、図5Aからの2プレナム/2温度シャワーヘッドの例の3次元分解断面図を示す。
見て分かるように、シャワーヘッド500は、概して円形状を有する。そのような軸対称の全体形状は、対称性に鑑みて半導体製造の文脈で好ましいことが多いが、シャワーヘッド500が他の形状を有していてもよく、たとえば、プロセス均一性に影響を及ぼさないシャワーヘッド500の部分、たとえばウェハ反応領域外の部分が長方形や正方形など他の形状を有していてもよい。
第1のプレナム容積部502と第2のプレナム容積部504は、シャワーヘッド500の内部に位置することがあり、プレナム分割体508によって互いに分離されることがある。第1のプレナム容積部502は、第1のガス入口544を通してガスを供給されることがあり、プレナム分割体508とバックプレート582との間に位置することがある。第2のプレナム容積部504は、第2のガス入口546を通してガスを供給されることがあり、プレナム分割体508とフェイスプレート510との間に位置することがある。第2のガス入口546は、複数の径方向ガス分散穴550を有することがあり、ガス分散穴550は、ガスが第2のプレナム容積部504内に送給されるときに、ガスをまず径方向に流す。フェイスプレート510は、LCA要素552を介してカラー570によって支持されることがあり、また、第1の低熱伝導バリア554と、第2の低熱伝導バリア556と、第3の低熱伝導バリア557とが、フェイスプレート510とプレナム分割体508との間に配されていることがある。シャワーヘッド500では、3つの低熱伝導バリアが使用されるが、たとえばシャワーヘッド100または300と同様の他の実装形態は、1つまたは2つの低熱伝導バリアのみを使用することもある。この例では、第3の低熱伝導バリア557は、フェイスプレート510内部の電極(図示せず)に電力を搬送するための可撓性の導電性接点として作用する。複数の第1の貫通穴536が、第1のプレナム容積部502を、シャワーヘッド500の下にあるウェハ反応領域(明示せず)と流体接続させることができる。
図5Cの詳細図でさらに見られるように、第1のプレナム容積部502は、プレナム分割体508の第1の面512とバックプレート582とによって部分的に画定され、第2のプレナム容積部504は、プレナム分割体508の第2の面514と、フェイスプレート510の第1の面516とによって部分的に画定される。さらに見られるように、プレナム分割体508の第2の面514は、低熱伝導バリアを受け取るように構成された凹形環状チャネルを有することがある。この凹形環状チャネルは、アセンブリ内で低熱伝導バリアを中心合わせし、低熱伝導バリアがかなりの距離にわたって径方向に変位しないようにする一助となることがある。しかし、そのようなチャネルは任意選択であり、また、代替または追加としてフェイスプレート510の第1の面516に提供されることもある。
300mm半導体ウェハと共に使用するようにサイズ設定された図示される実装形態では、第1の低熱伝導バリア554は、内径が約12インチであり、凹形環状チャネルの底部とフェイスプレート510との間のギャップは、約0.2インチである。第1の低熱伝導バリア554の湾曲により、第1の低熱伝導バリア554の蛇行断面長さは、約1インチであり、すなわち、第1の低熱伝導バリア554がまたぐギャップ幅の約5倍である。さらに、図示される実装形態では、フェイスプレート510は、約380平方インチの総表面積(穴の側壁は含まない)を有し、6個のLCA要素552によって支持され、各LCA要素552が、約0.006平方インチの面積にわたってフェイスプレート510と接触する。約0.036平方インチのLCA要素接点に加えて、フェイスプレートは、図示される3つの低熱伝導バリアとも接触することがあり、各低熱伝導バリアが、フェイスプレート510の約1平方インチ以下と接触することがある。したがって、この例のフェイスプレート510は、約380平方インチのフェイスプレート510の総表面積のうち、約2〜3平方インチしか他の構成要素と直接接触しないことがあり、これは、たとえばフェイスプレート510の総表面積の1%以下程度である。当然、これらの寸法は、図示される実装形態に特定的なものであり、おおよその寸法にすぎない。これらの寸法に対する変更も、そのような寸法が本明細書で論じる利益を生み出すという前提で、本開示の範囲内にある。
やはり図5Cに見られるように、プレナム分割体508は、複数の管状構造532を有することがあり、各管状構造532が、異なる第1の貫通穴536と関連付けられる。各管状構造は、フェイスプレート510内に位置する第2の貫通穴538内に(またはこの場合には貫通穴538を貫通して)突出することがある。見て分かるように、管状構造532の外面534と第2の貫通穴538の内面542との間に環状ギャップが存在する。この例では、環状構造532は、第2の貫通穴538を通って延在し、フェイスプレート510の第2の面518と実質的に面一である。
シャワーヘッド内部のガス流を視覚化する一助となるように、いくつかのガス流の概略方向を示す矢印が、図5Cに描かれている。たとえば、第1のプレナム容積部502を通して送給される第1のプロセスガスは、実線の黒い矢印で示され、第2のプレナム容積部504を通して送給される第2のプロセスガスは、白い矢印で示される。見て分かるように、黒い矢印は、第1の貫通穴536を通る流れを示し、白い矢印は、外面534と内面542との間の環状空間を通る流れを示す。
図5Dは、上述したように、シャワーヘッド500の3次元分解断面図を示す。見て分かるように、各構成要素の構造は比較的単純であり、組立てプロセスを比較的効率化することができる。図5Dでも見られるが、詳細図5Eは、カラー570の一部を示す。特に、カラー表面572を見ることができ、LCA要素552、たとえばスタッドまたは他の小さな突起部がそこから突出する。
図5A〜図5Eのシャワーヘッド500は、2プレナム/2温度シャワーヘッドであるが、図6A〜図6Dは、3プレナム/2温度シャワーヘッドを示す。図6Aは、3プレナム/2温度シャワーヘッドの一例の斜視図を示す。図6Bは、図6Aからの3プレナム/2温度シャワーヘッドの例の斜視断面図を示す。図6Cは、破線の長方形で囲まれた図6Aの部分の詳細図を示す。図6Dは、図6Aからの3プレナム/2温度シャワーヘッドの例の3次元分解断面図を示す。
シャワーヘッド500と同様に、第1のプレナム容積部602と第2のプレナム容積部604は、シャワーヘッド600内部に位置することがあり、プレナム分割体608によって互いに分離されることがある。第1のプレナム容積部602は、第1のガス入口644を通してガスを供給されることがあり、プレナム分割体608とバックプレート682との間に位置することがある。第2のプレナム容積部604は、第2のガス入口646を通してガスを供給されることがあり、プレナム分割体608とフェイスプレート610との間に位置することがある。第2のガス入口646は、複数の径方向ガス分散穴650を有することがあり、ガス分散穴650は、ガスが第2のプレナム容積部604内に送給されるときに、ガスをまず径方向に流す。図6Cに見られる第3のプレナム容積部606は、1つまたは複数の第3のガス入口548を通してガスを供給されることがある。第3のプレナム容積部は、図6Cに見られる1または複数の内部流路674にプロセスガスを提供することができ、内部流路674は、たとえば、フェイスプレート610とフェイスプレートカバー694との間に形成される。
フェイスプレート610は、LCA要素652を介してカラー670によって支持されることがあり、また、第1の低熱伝導バリア654と、第2の低熱伝導バリア656と、第3の低熱伝導バリア657とが、フェイスプレート610とプレナム分割体608との間に配されていることがある。シャワーヘッド600では3つの低熱伝導バリアが使用されるが、第3の低熱伝導バリア657は任意選択でよい。第3のプレナム容積部606は、たとえば、第1の低熱伝導バリア654と第2の低熱伝導バリア656との間に位置することがある。複数の第1の貫通穴636が、第1のプレナム容積部602を、シャワーヘッド600の下にあるウェハ反応領域(明示せず)と流体接続させることができる。
図6Cの詳細図でさらに見られるように、第1のプレナム容積部602は、プレナム分割体608の第1の面612とバックプレート682とによって部分的に画定され、第2のプレナム容積部604は、プレナム分割体608の第2の面614と、フェイスプレート610の第1の面616とによって部分的に画定される。さらに見られるように、シャワーヘッド500と同様に、プレナム分割体608の第2の面614は、低熱伝導バリアを受け取るように構成された凹形環状チャネルを有することがある。
やはり図6Cに見られるように、第3のプレナム容積部606は、第1の低熱伝導バリア654と第2の低熱伝導バリア656との間に位置することがあり、かつプレナム分割体608の第2の面614とフェイスプレート610の第1の面との間に位置することがある。第3のプレナム容積部606は、第3のガス入口648から、リングプレート692の下に位置する環状空間、ならびにその環状空間を第3のプレナム606と接続する様々な貫通穴を通してガスを供給されることがある。ガス入口648と第3のプレナム容積部606との間の流体流れ接続の正確な流路設定および構成は、図示されるものとは異なることがある。複数の第3の穴640が、第3のプレナム容積部606を内部流路674と流体接続させることができる。
プレナム分割体508と同様に、プレナム分割体608は、複数の管状構造632を有することがあり、各管状構造632が、異なる第1の貫通穴636と関連付けられる。各管状構造は、フェイスプレート610内に位置する第2の貫通穴638内に(またはこの場合には貫通穴638を貫通して)突出することがある。この場合、第2の貫通穴638は、フェイスプレート610の副構成要素とみなすことができるフェイスプレートカバー694を通過することもある。この特定の例では、大きな連続する開いた容積部が、フェイスプレート610の第2の面618と、フェイスプレートカバー694との間に形成される。この開いた容積部は、内部流路674を形成し、管状構造632によって穿通される。図示されるように、内部流路674は、この例では、第2の貫通穴638を通して、第2のプレナム容積部604およびウェハ反応領域(図示しないが、シャワーヘッド600の下に位置する)と流体連絡することがある。この場合、第2のプレナム容積部604内に導入されるガスと、内部流路内に導入されるガスとが、(それらが同時に流されると仮定して)シャワーヘッド内部で混ざる。したがって、第2のプレナム容積部604および内部流路674を通して流されるガスが、シャワーヘッド600内部で混合されるときに望ましくない反応副生成物を生成しない場合には、そのような設計を利用することが望ましいことがある。また、内部流路674から第2のプレナム容積部604へのガスの逆流を防止するために、第2のプレナム容積部604の内部で、内部流路674の内部よりも高い圧力を維持することが望ましいこともある。
シャワーヘッド内部のガス流を視覚化する一助となるように、いくつかのガス流の概略方向を示す矢印が、図6Cに描かれている。たとえば、第1のプレナム容積部602を通して送給される第1のプロセスガスは、実線の黒い矢印で示され、第2のプレナム容積部604を通して送給される第2のプロセスガスは、白い矢印で示され、第3のプレナム容積部606を通して送給される第3のプロセスガスは、灰色の矢印で示される。見て分かるように、黒い矢印は、第1の貫通穴636を通る流れを示し、白い矢印および灰色の矢印は、外面634と内面642との間の環状空間を通る流れを示す。
図6Dは、上述したように、シャワーヘッド600の3次元分解断面図を示す。この図では、第3のプレナム容積部606にガスを供給するリングプレート692の下の環状空間が明瞭に見られる。
図7Aは、3プレナム/2温度シャワーヘッドの別の例の斜視図を示す。図7Bは、図7Aからの3プレナム/2温度シャワーヘッドの例の断面斜視図を示す。図7Cは、破線の長方形で囲まれた図7Aの部分の詳細図を示す。図7Dは、図7Aからの3プレナム/2温度シャワーヘッドの例の3次元分解断面図を示す。
図7A〜図7Dにおいて、シャワーヘッド700の全体的な構造は、図6A〜図6Dのシャワーヘッド600の全体的な構造と同様である。以下に論じる図7A〜図7Dの特有の構造、要素、および特徴を除いて、図6A〜図6Dの構造、要素、および特徴と下2桁が同じ符号を付された図7A〜図7Dの構造、要素、または特徴は、上述した図6A〜図6Dのそれらの対応する構造、要素、または特徴と実質的に同一であり得ることを理解されたい。繰返しを避けるために、そのような要素の説明については上記の論述を参照されたい。
シャワーヘッド700とシャワーヘッド600の1つの相違部分は、第3のプレナム容積部を通して内部流路774内に導入されるガスがシャワーヘッド700内部で第2のプレナム容積部704からのガスと混合するのを妨げるように、フェイスプレート710およびフェイスプレートカバー794が構成されることである。
図7Cに関して、これらの詳細をより明瞭に見ることができる。見て分かるように、第2の貫通穴738は、(フェイスプレートカバー794の通過を含めて)フェイスプレート710の厚さ全体にわたって、連続する内面742を有する。したがって、内部流路774の内部を流れるガスは、第2の貫通穴738を通って逃げることができない。その代わり、内部流路774を通って流れるガスがウェハ反応領域内に流れることができるようにするために、別個の第4の穴776が提供されることがある。
シャワーヘッド内部のガス流を視覚化する一助となるように、いくつかのガス流の概略方向を示す矢印が、図7Cに描かれている。たとえば、第1のプレナム容積部702を通して送給される第1のプロセスガスは、実線の黒い矢印で示され、第2のプレナム容積部704を通して送給される第2のプロセスガスは、白い矢印で示され、第3のプレナム容積部706を通して送給される第3のプロセスガスは、灰色の矢印で示される。見て分かるように、黒い矢印は、第1の貫通穴736を通る流れを示し、白い矢印は、外面734と内面742との間の環状空間を通る流れを示し、灰色の矢印は、第4の穴776を通る流れを示す。
上の例では、内部流路は、「連続する開いた容積部」などと表されていることを理解されたい。いくつかの実装形態では、内部流路は、フェイスプレート内部の個別の経路(互いに交差しても交差しなくてもよい)のネットワークによって提供されることがある。そのような経路は、フェイスプレートの幅を通してそのような経路をガンドリルまたは他の方法で形成することによって提供されることがあり、あるいは、フェイスプレート内に経路を機械加工または他の方法で形成し、次いでそれらの経路をフェイスプレートカバーで覆うことによって提供されることがある。また、バックプレートまたはプレナム分割体内の冷却経路が使用される場合には、そのような冷却経路にも同様のストラテジを採用することができる。
一般的に言って、特定の実装形態に関する上述した管状構造と第2の貫通穴の内面との間のギャップは、2つの制約を受けることがある。第1の制約は、ギャップサイズ、すなわち第3の距離を、概して中空陰極放電をサポートする距離未満にすべきであるというものである。容量放電を生成するのに必要な電界は通常であればシャワーヘッド内部に存在し得ないので、この制約は、一般に、たとえばシャワーヘッド400によって形成されることがあるプラズマ環境にシャワーヘッドがさらされるときにのみ適切である。そのようなギャップサイズは、一般には、約0.1インチ未満でよい。第2の制約は、第2のプレナム容積部内部のガスに関する所望の流量を確立するのに必要なようにギャップサイズを設定すべきであるというものである。この制約は、非常にプロセス特有のものであることがある。たとえば、ギャップサイズは、いくつかのパラメータに基づいて決定することができ、それらのパラメータは、シャワーヘッド内部で1つのプレナムから別のプレナムへ向かう、またはウェハ反応領域からプレナムの1つの中へ向かう逆拡散を実質的に防止するのに十分に高いペクレ数を提供し、ウェハにわたる流れの均一性が促進されるのに十分に高い圧力降下を提供し、また、プラズマ環境を含むことがある任意のプロセスステップ中にジェッティング効果を防止または緩和する。
いくつかの場合には、第2の貫通穴は、同じまたは異なる直径の追加の貫通穴によって増補されることがあり、追加の貫通穴は、それらを通過する対応する管状構造を有さない。これらの追加の貫通穴は、第2のプレナム容積部からのガスの流れを増加させる、または流れをより分散させることができる。図5A〜図7Dに示される変形形態では、各第1の貫通穴は、直径が約0.04インチであり、管状構造の外径は、約0.08インチであり、第2の貫通穴の内径は、約0.125インチであり、第3の距離を約0.0225インチにする。しかし、これらの寸法は、異なる流れ条件ごとに異なることがある。
本明細書で開示されるようなシャワーヘッドによって提供される2温度機能は、いくつかの半導体プロセスで使用されるときに第1のプレナム容積部と第2のプレナム容積部との間で大きく異なることがある熱的環境を生成することができる。いくつかの半導体プロセス中、かなりの量の熱エネルギーがウェハ反応領域内で生成されることがあり、反応領域の1つの境界を実質上形成するフェイスプレートは、半導体処理中にかなりの量の熱エネルギーを受け取ることがある。典型的なシャワーヘッドでは、そのようなエネルギーは、フェイスプレートから、フェイスプレートと伝熱接触する他のシャワーヘッド構成要素を通して伝達されることがある。これにより、残りのシャワーヘッド構成要素も温度が上昇することがあり、フェイスプレートとシャワーヘッドの残りの要素との間で大きな温度勾配が生じるのを妨げることがある。
対照的に、本明細書で開示されるようなシャワーヘッドは、フェイスプレートから残りのシャワーヘッド構成要素内に流れる熱エネルギーの量を大幅に減少させることができる。これは2つの効果を有する。第1の効果は、このフェイスプレートが、典型的なフェイスプレート、すなわち通常のプロセス条件下で熱流を大幅には制限しないようにシャワーヘッドの他の構成要素と伝熱接触するフェイスプレートと比較して、かなり高い温度を維持することができることである。もう1つの効果は、シャワーヘッドの残りの要素を、典型的な場合に保つことができるよりもはるかに低い温度で保つことができることである。
たとえば、いくつかの原子層堆積(ALD)タイプのプロセスでは、ウェハ反応領域は、500℃に近付く、または500℃を超える温度になることがある。したがって、そのようなALDタイプのプロセスで使用される本明細書で述べるような2温度/複数フローシャワーヘッドは、定常状態プロセス条件中、約500℃のフェイスプレート温度を有すると同時に25〜30℃のバックプレート温度を有する(人がバックプレートに触れても安全にする)ことがある。いくつかの実装形態では、バックプレート温度は、70℃までの範囲内でよい。いくつかの他の実装形態では、フェイスプレート温度は、150〜200℃で維持されることがある。典型的には、フェイスプレートの温度は、ウェハを支持するペデスタルの温度、およびウェハ反応領域内部のプラズマ環境など他の因子によって決まる。
第1のプレナム容積部と第2のプレナム容積部を、場合によっては非常に異なる2つの温度で維持できるようにすることによって、本明細書で開示されるシャワーヘッドは、様々な利益を提供することができ、それらの利益は、様々な半導体処理の文脈で興味深いものであることがある。たとえば、本明細書で述べるようなプラズマドームタイプのシャワーヘッド、または外部遠隔プラズマ発生器と接続された本明細書で述べるようなシャワーヘッドによって発生されるプラズマが、半導体ウェハにわたって流されるラジカルを生成することがある。そのようなラジカルは、ウェハ反応領域に進む際、シャワーへッド内部の様々な表面と衝突することがある。そのような各衝突により、ラジカルは、表面から跳ね返ることがあり、または表面上の分子または原子と再結合することがある。再結合されたラジカルは、最終的にウェハ反応領域に届くラジカル収量を減少させる。しかし、ラジカル再結合の機会は、とりわけ、ラジカル衝突が生じる環境の温度に少なくとも一部依存する。すなわち、高温環境では、ラジカル再結合の機会は増え、低温環境では、ラジカル再結合の機会は減る。したがって、第1のプレナム容積部をかなり低い温度で維持することは、望ましくないラジカル再結合を防止するように作用することがある。
低温の第1のプレナム容積部の別の利益は、特定の半導体プロセスが互いに反応性のある前駆体を利用することであり、それらの前駆体は、高温よりも低温で、よりゆっくりと反応することがある。たとえば、例示的なALDプロセスでは、被膜堆積プロセスを提供するために、アンモニアがチタン種と共に使用されることがある。アンモニアは、第1のプレナム容積部を通して提供する(低温で保つ)ことができ、チタン種は、第2のプレナム容積部を通して提供する(高温で保つ)ことができる。いくらかのチタン種が、偶然、たとえば拡散または他のメカニズムによって第1のプレナム容積部内に迷入した場合、温度がより低いので、それらのチタン種とアンモニアとの反応速度が低下され、シャワーヘッド内部で生成されることがある反応副生成物の量を減少させる。
同時に、より高温で第2のプレナム容積部を維持する(または、より特定的には、より高温でフェイスプレートを維持する)ことは、第2のプレナム容積部内部のプロセスガスの望ましくない凝縮を防止する一助となることがある(そのような凝縮は、出口オリフィスを閉塞することによって不均一なガス分散をもたらすことがあり、または、シャワーヘッドからウェハに向けて液滴を吐出させることがある)。フェイスプレートを高温で維持する別の利益は、熱循環によるフェイスプレートからの被膜剥落を減少させる、またはなくすことができることである。これは、ウェハの微粒子汚染の危険を低減させることができる。
本明細書で様々な構成要素に使用する材料は、一般に、半導体処理機器に関してよく使用される材料から選択することができ、たとえば、使用されるプロセス環境と化学的に適合性があり、所望の熱的特性、強度特性、および電気的特性を示す合金または材料である。たとえば、フェイスプレートは、セラミック、アルミニウム、または他の材料から形成されることがある。セラミックから形成される場合、電極は、セラミック内部に埋め込まれることがあり、第1のプレナム容積部、第2のプレナム容積部、および/または第3のプレナム容積部からウェハ反応領域に送給されるガスを使用するウェハ反応領域内部でのプラズマ発生を容易にする。
上述したシャワーヘッドは、半導体処理チャンバ(または反応チャンバ)および/またはツールの文脈で、あるいはマルチステーション処理または反応チャンバおよび/またはツールの様々なステーションでの文脈で実装することができることを理解されたい。そのようなシャワーヘッドは、ガス供給源/ライン、流量制御装置、弁、電源、RF発生器、圧力センサや温度センサなどのセンサ、流量測定デバイスなど、様々な他の機器部品と接続されることがある。そのようなチャンバまたはツールは、シャワーヘッドを使用して所望の半導体プロセスを提供するために、様々な弁、流量制御装置、および他の機器を制御するための命令を有するシステム制御装置を含むことがある。それらの命令は、たとえば、本開示に従って、プロセス操作中に、第1のプレナム容積部を通して第1のプロセスガスを流すと同時に、第2のプレナム容積部を通して第2のプロセスガスを流すための命令を含むことがある。システム制御装置は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数の処理装置とを含むことがあり、処理装置は、本開示に従って装置が方法を実施するように命令を実行するように構成される。本開示に従ってプロセス操作を制御するための命令を含む機械可読媒体が、システム制御装置に結合されることがある。
本明細書で上述した装置/プロセスは、リソグラフィパターン形成ツールまたはプロセスと共に、たとえば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの作製または製造に使用されることがある。必須ではないが、典型的には、そのようなツール/プロセスは、共通の製造施設で一緒に使用または実施される。被膜のリソグラフィパターン形成は、典型的には、以下のステップのいくつかまたは全てを含み、各ステップが、多数の使用し得るツールを用いて可能にされる。(1)スピンオンまたはスプレーオンツールを使用して、ワークピース、すなわち基板にフォトレジストを塗布するステップ;(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化するステップ;(3)ウェハステッパなどのツールを用いて可視光またはUV光またはX線光にフォトレジストを露出させるステップ;(4)ウェットベンチなどのツールを使用して、レジストを現像し、レジストを選択的に除去し、それによりレジストをパターン形成するステップ;(5)ドライエッチングまたはプラズマエッチングツールを使用することによって、下にある被膜またはワークピースにレジストパターンを転写するステップ;および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。
上記の特定の実装形態の任意のものにおける特徴が互いに不適合であると明示的に断定されない限り、あるいは、互いに排他的で、補完的および/または補助的な意味合いで容易に組み合わせることができないことを前後の文脈が示唆しない限り、それらの補完的な実装形態の特定の特徴を選択的に組み合わせて、広範であるがわずかに異なる1つまたは複数の技術的解決策を提供することができることを本開示の全体が企図および想定していることも理解されたい。したがって、上の説明は例として提示されているにすぎず、本開示の範囲内で細部に変更を施すことができることをさらに理解されたい。

Claims (24)

  1. 半導体処理機器で使用するためのシャワーヘッドであって、
    第1のプレナム容積部と、
    第2のプレナム容積部と、
    フェイスプレートと、
    プレナム分割体と
    を備え、
    前記プレナム分割体が、第1の面と、前記プレナム分割体の前記第1の面とは逆側の第2の面とを有し、
    前記フェイスプレートが、第1の面と、前記フェイスプレートの前記第1の面とは逆側の第2の面とを有し、
    第1のギャップを形成するように、前記フェイスプレートの前記第1の面が、前記プレナム分割体の前記第2の面に面し、前記プレナム分割体の前記第2の面から第1の距離だけずらして配され、
    前記プレナム分割体の前記第1の面が、前記第1のプレナム容積部を部分的に画定し、
    前記第2のプレナム容積部が、前記第1のギャップ内部で前記プレナム分割体と前記フェイスプレートとの間に位置し、
    前記プレナム分割体が、複数の管状構造を含み、前記管状構造が、前記プレナム分割体の前記第2の面から第2の距離だけ突出し、各管状構造が、外面と、前記管状構造および前記プレナム分割体を通過する第1の貫通穴とを有し、
    前記フェイスプレートが、複数の第2の貫通穴を含み、各第2の貫通穴が、前記複数の管状構造の異なる1つに対応し、前記対応する管状構造の前記外面から少なくとも第3の距離だけずれた内面を有し、
    前記第1の距離が、前記第2の距離未満であり、
    前記フェイスプレートが、前記プレナム分割体から実質的に伝熱的に隔離される、シャワーヘッド。
  2. 請求項1、および3から22のいずれか一項に記載のシャワーヘッドであって、
    前記フェイスプレートと前記プレナム分割体が、それらの間で0.05W/(in2K)以下の熱伝導率を有するように構成される、シャワーヘッド。
  3. 請求項1、2、および4から22のいずれか一項に記載のシャワーヘッドであって、さらに、
    バックプレートを備え、
    前記第1のプレナム容積部が、前記バックプレートによっても部分的に画定され、
    前記バックプレートが、複数の内部冷却経路を含み、能動的に冷却されるように構成される、シャワーヘッド。
  4. 請求項1から3、および5から22のいずれか一項に記載のシャワーヘッドであって、
    前記プレナム分割体が、前記プレナム分割体の内部でクーラントを循環させるように構成された1つまたは複数の内部冷却経路を含む、シャワーヘッド。
  5. 請求項1から4、および6から22のいずれか一項に記載のシャワーヘッドであって、
    さらに、無線周波数発生器に接続され、かつ第1のガス入口に流体接続されたプラズマドームを備え、
    前記第1のプレナム容積部が、前記プラズマドームによっても部分的に画定され、
    前記プラズマドームおよびRF発生器が、前記第1のガス入口からのガスを使用して前記第1のプレナム容積部の内部でプラズマを発生するように構成される、シャワーヘッド。
  6. 請求項1から5、および7から22のいずれか一項に記載のシャワーヘッドであって、
    前記フェイスプレートが、前記複数の第2の貫通穴に加えて、複数の追加の第2の貫通穴を有し、
    前記複数の追加の第2の貫通穴が、対応する管状構造を有さない、シャワーヘッド。
  7. 請求項1から6、および8から22のいずれか一項に記載のシャワーヘッドであって、
    前記管状構造が実質的に円筒形であり、前記複数の第1の貫通穴と前記複数の第2の貫通穴が実質的に円形であり、各第2の貫通穴の内面と各対応する管状構造の外面との間のずれが、前記第2の貫通穴と前記対応する管状構造との間に環状ギャップ領域を生じる、シャワーヘッド。
  8. 請求項1から7、および9から22のいずれか一項に記載のシャワーヘッドであって、
    前記第3の距離が、約0.1インチ未満である、シャワーヘッド。
  9. 請求項1から8、および10から22のいずれか一項に記載のシャワーヘッドであって、
    前記第3の距離が、約0.005インチ〜0.020インチの間である、シャワーヘッド。
  10. 請求項1から9、および11から22のいずれか一項に記載のシャワーヘッドであって、
    各管状構造が、前記対応する第2の貫通穴の近傍の前記フェイスプレートの前記第2の面と実質的に面一の位置で終端する、シャワーヘッド。
  11. 請求項1から10、および12から22のいずれか一項に記載のシャワーヘッドであって、さらに、
    第1のガス入口と、
    第2のガス入口と、を備え、
    前記第1のガス入口が、前記第1のプレナム容積部にガスを供給するように構成され、
    前記第2のガス入口が、前記第2のプレナム容積部にガスを供給するように構成され、
    前記第2のガス入口が、実質的に管状であり、前記プレナム分割体を通過し、前記フェイスプレートの前記第1の面と前記プレナム分割体の前記第2の面との間にまたがり、
    前記第2のガス入口が、複数の径方向ガス分散穴の円形アレイを有し、各径方向ガス分散穴が、前記第2のガス入口を前記第2のプレナム容積部と流体接続させる、シャワーヘッド。
  12. 請求項1から11のいずれか一項に記載のシャワーヘッドであって、さらに、
    1つまたは複数の低接触面積(LCA)要素と、
    内周を有する第1の低熱伝導バリアと、を備え、
    前記1つまたは複数のLCA要素が、前記プレナム分割体に対して前記フェイスプレートを支持し、
    前記第1の低熱伝導バリアが、前記第1のギャップをまたぐように、前記フェイスプレートと前記プレナム分割体との間に配されており、
    前記第1の低熱伝導バリアが、前記第1の低熱伝導バリアの前記内周の内側に前記複数の第2の貫通穴が位置するように配される、シャワーヘッド。
  13. 請求項12、および14から22のいずれか一項に記載のシャワーヘッドであって、
    前記フェイスプレートから前記シャワーヘッドの他の構成要素への前記熱伝導経路の実質的に全てが、前記1つまたは複数のLCA要素および前記第1の低熱伝導バリアによって提供される、シャワーヘッド。
  14. 請求項12、13、および15から22のいずれか一項に記載のシャワーヘッドであって、
    前記1つまたは複数のLCA要素が、前記第1の低熱伝導バリアに巡らせて間隔を空けて配置された複数のポストによって提供され、前記ポストが、前記フェイスプレートを張力下で支持するように構成される、シャワーヘッド。
  15. 請求項12から14、および16から22のいずれか一項に記載のシャワーヘッドであって、
    さらに、カラーを含み、前記カラーが、前記フェイスプレートから少なくとも第4の距離だけずらして配されたカラー内面を有し、前記フェイスプレートよりも小さい内部アパーチャを有し、前記1つまたは複数のLCA要素が、複数のLCAボールによって提供され、前記LCAボールが、圧縮下で前記フェイスプレートの前記第2の面を支持し、前記LCAボールが、前記カラー内面と前記フェイスプレートとの間に位置する、シャワーヘッド。
  16. 請求項12から15のいずれか一項に記載のシャワーヘッドであって、
    さらに、内周を有する第2の低熱伝導バリアを備え、
    前記第2の低熱伝導バリアが、前記第1のギャップをまたぐように、前記フェイスプレートと前記プレナム分割体との間に配されており、
    前記第1の低熱伝導バリアが、前記第2の低熱伝導バリアの前記内周の内側に配される、シャワーヘッド。
  17. 請求項16、および18から22のいずれか一項に記載のシャワーヘッドであって、
    前記フェイスプレートから前記シャワーヘッドの他の構成要素への前記熱伝導経路の実質的に全てが、前記1つまたは複数のLCA要素、前記第1の低熱伝導バリア、および前記第2の低熱伝導バリアによって提供される、シャワーヘッド。
  18. 請求項16または17に記載のシャワーヘッドであって、
    第3のプレナム容積部が、前記フェイスプレートの前記第1の面、前記プレナム分割体の前記第2の面、前記第1の低熱伝導バリア、および前記第2の低熱伝導バリアによって少なくとも部分的に画定され、
    前記フェイスプレートが、
    複数の内部流路と、
    前記フェイスプレートの前記第1の面にある複数の第3の穴と
    を含み、
    各第3の穴が、前記複数の内部流路の1つと前記フェイスプレートの前記第1の面との間をまたぎ、
    各第3の穴が、前記第1の低熱伝導バリアと前記第2の低熱伝導バリアとの間の領域内で、前記フェイスプレートの前記第1の面に抜ける、シャワーヘッド。
  19. 請求項18に記載のシャワーヘッドであって、
    前記第1のプレナム容積部、前記第2のプレナム容積部、および前記第3のプレナム容積部が全て、別個のガス入口から供給される、シャワーヘッド。
  20. 請求項18、19、21、および22のいずれか一項に記載のシャワーヘッドであって、
    前記複数の第2の貫通穴が、前記複数の内部流路に交差し、それにより、前記複数の第2の貫通穴が、前記フェイスプレート内部の前記複数の内部流路と流体連絡する、シャワーヘッド。
  21. 請求項18から20のいずれか一項に記載のシャワーヘッドであって、
    前記フェイスプレートが、さらに、前記フェイスプレートの前記第2の面に複数の第4の穴を含み、
    各第4の穴が、前記複数の内部流路の1つと、前記フェイスプレートの前記第2の面との間をまたぐ、シャワーヘッド。
  22. 請求項21に記載のシャワーヘッドであって、
    前記複数の第4の穴が、前記フェイスプレート内部の前記複数の第2の貫通穴から流体的に隔離される、シャワーヘッド。
  23. 反応チャンバと、
    ウェハ支持体と、
    シャワーヘッドと
    を備える半導体処理装置であって、
    前記シャワーヘッドが、
    第1のプレナム容積部と、
    第2のプレナム容積部と、
    フェイスプレートと、
    プレナム分割体と、を含み、
    前記プレナム分割体が、第1の面と、前記プレナム分割体の前記第1の面とは逆側の第2の面とを有し、
    前記フェイスプレートが、第1の面と、前記フェイスプレートの前記第1の面とは逆側の第2の面とを有し、
    第1のギャップを形成するように、前記フェイスプレートの前記第1の面が、前記プレナム分割体の前記第2の面に面し、前記プレナム分割体の前記第2の面から第1の距離だけずらして配され、
    前記プレナム分割体の前記第1の面が、前記第1のプレナム容積部を部分的に画定し、
    前記第2のプレナム容積部が、前記第1のギャップ内部で前記プレナム分割体と前記フェイスプレートとの間に位置し、
    前記プレナム分割体が、複数の管状構造を含み、前記管状構造が、前記プレナム分割体の前記第2の面から第2の距離だけ突出し、各管状構造が、外面と、前記管状構造および前記プレナム分割体を通過する第1の貫通穴とを有し、
    前記フェイスプレートが、複数の第2の貫通穴を含み、各第2の貫通穴が、前記管状構造の異なる1つに対応し、前記対応する管状構造の前記外面から少なくとも第3の距離だけずれた内面を有し、
    前記第1の距離が、前記第2の距離未満であり、
    前記フェイスプレートが、前記プレナム分割体から実質的に伝熱的に隔離され、
    前記半導体処理装置が、前記シャワーヘッドの前記フェイスプレートの前記第2の面が前記ウェハ支持体に面するように構成され、
    前記ウェハ支持体が、前記反応チャンバ内部で半導体ウェハを支持するように構成される、半導体処理装置。
  24. 1つまたは複数の反応チャンバを備える半導体処理ツールであって、少なくとも1つの反応チャンバが、ウェハ支持体と、シャワーヘッドとを有し、
    前記シャワーヘッドが、
    第1のプレナム容積部と、
    第2のプレナム容積部と、
    フェイスプレートと、
    プレナム分割体と、を含み、
    前記プレナム分割体が、第1の面と、前記プレナム分割体の前記第1の面とは逆側の第2の面とを有し、
    前記フェイスプレートが、第1の面と、前記フェイスプレートの前記第1の面とは逆側の第2の面とを有し、
    第1のギャップを形成するように、前記フェイスプレートの前記第1の面が、前記プレナム分割体の前記第2の面に面し、前記プレナム分割体の前記第2の面から第1の距離だけずらして配され、
    前記プレナム分割体の前記第1の面が、前記第1のプレナム容積部を部分的に画定し、
    前記第2のプレナム容積部が、前記第1のギャップ内部で前記プレナム分割体と前記フェイスプレートとの間に位置し、
    前記プレナム分割体が、複数の管状構造を含み、前記管状構造が、前記プレナム分割体の前記第2の面から第2の距離だけ突出し、各管状構造が、外面と、前記管状構造および前記プレナム分割体を通過する第1の貫通穴とを有し、
    前記フェイスプレートが、複数の第2の貫通穴を含み、各第2の貫通穴が、前記管状構造の異なる1つに対応し、前記対応する管状構造の前記外面から少なくとも第3の距離だけずれた内面を有し、
    前記第1の距離が、前記第2の距離未満であり、
    前記フェイスプレートが、前記プレナム分割体から実質的に伝熱的に隔離され、
    前記半導体処理ツールが、前記シャワーヘッドの前記フェイスプレートの前記第2の面が前記ウェハ支持体に面するように構成され、
    前記ウェハ支持体が、前記少なくとも1つの反応チャンバ内部で半導体ウェハを支持するように構成される、半導体処理ツール。
JP2014130967A 2013-07-03 2014-06-26 複数プレナム/2温度シャワーヘッド Active JP6355450B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/934,597 2013-07-03
US13/934,597 US9677176B2 (en) 2013-07-03 2013-07-03 Multi-plenum, dual-temperature showerhead

Publications (3)

Publication Number Publication Date
JP2015015466A true JP2015015466A (ja) 2015-01-22
JP2015015466A5 JP2015015466A5 (ja) 2017-08-03
JP6355450B2 JP6355450B2 (ja) 2018-07-11

Family

ID=52131953

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014130967A Active JP6355450B2 (ja) 2013-07-03 2014-06-26 複数プレナム/2温度シャワーヘッド

Country Status (6)

Country Link
US (1) US9677176B2 (ja)
JP (1) JP6355450B2 (ja)
KR (1) KR102126091B1 (ja)
CN (1) CN104278254B (ja)
SG (1) SG10201403736YA (ja)
TW (1) TWI643677B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190117380A (ko) * 2018-04-06 2019-10-16 어플라이드 머티어리얼스, 인코포레이티드 고온 가스 분배 어셈블리
JP2020529124A (ja) * 2017-07-28 2020-10-01 ラム リサーチ コーポレーションLam Research Corporation モノリシックセラミックガス分配プレート
US11145505B1 (en) 2021-02-15 2021-10-12 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
KR20230045543A (ko) 2021-09-28 2023-04-04 도쿄엘렉트론가부시키가이샤 기판 처리를 행하는 장치, 가스 샤워 헤드, 및 기판 처리를 행하는 방법
TWI835740B (zh) 2017-07-28 2024-03-21 美商蘭姆研究公司 單片陶瓷氣體分配板

Families Citing this family (444)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN107326341B (zh) * 2017-07-14 2019-10-25 君泰创新(北京)科技有限公司 Lpcvd工艺腔匀气装置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
FI129700B (en) * 2017-10-18 2022-07-15 Beneq Oy Nozzle head
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN111492092A (zh) * 2017-12-20 2020-08-04 朗姆研究公司 合金原子层沉积中前体的均质混合的系统和方法
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US20190226088A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. High temperature faceplate with thermal choke and cooling
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
FI128427B (en) 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10900124B2 (en) * 2018-06-12 2021-01-26 Lam Research Corporation Substrate processing chamber with showerhead having cooled faceplate
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11970775B2 (en) 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11834743B2 (en) 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US20220093366A1 (en) * 2019-02-01 2022-03-24 Lam Research Corporation Showerhead for deposition tools having multiple plenums and gas distribution chambers
US10946395B2 (en) * 2019-02-06 2021-03-16 Kevin J. Medeiros Shower head
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102170451B1 (ko) * 2020-01-22 2020-10-28 (주)이큐테크플러스 프리커서와 반응가스를 함께 분사하는 라디컬 유닛 및 이를 포함하는 ald장치
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
DE102020103946A1 (de) * 2020-02-14 2021-08-19 AIXTRON Ltd. Gaseinlasseinrichtung für einen CVD-Reaktor
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11810764B2 (en) * 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113804046B (zh) * 2020-06-15 2023-10-13 拓荆科技股份有限公司 一种低成本的主动控温喷淋头
US11242600B2 (en) 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220071849A (ko) 2020-11-24 2022-05-31 한국전자기술연구원 샤워 헤드 및 그를 포함하는 박막 증착 장치
WO2022114583A1 (ko) 2020-11-24 2022-06-02 한국전자기술연구원 샤워 헤드 및 그를 포함하는 박막 증착 장치
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112827678B (zh) * 2020-12-31 2022-05-13 拓荆科技股份有限公司 一种喷淋头用加热环
CN113265648B (zh) * 2021-04-01 2022-09-16 湖南卓荣金属材料科技有限公司 一种一体式粉末气相沉积喷涂装置
CN115138491A (zh) * 2021-04-02 2022-10-04 厦门松霖科技股份有限公司 关水的方法和出水装置
CN113249687B (zh) * 2021-04-14 2022-09-20 拓荆科技股份有限公司 一种真空内电加热喷淋头结构
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113249786B (zh) * 2021-05-11 2022-12-09 北京北方华创微电子装备有限公司 进气结构和半导体工艺设备
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
DE102021114868A1 (de) 2021-06-09 2022-12-15 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230294116A1 (en) * 2022-03-21 2023-09-21 Applied Materials, Inc. Dual channel showerhead assembly
WO2024091420A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Showerhead with three plenums

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6089472A (en) * 1997-06-16 2000-07-18 Trikon Equipments Limited Shower head
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
JP2003533878A (ja) * 2000-05-19 2003-11-11 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング プラズマエッチング装置
JP2005303292A (ja) * 2004-04-15 2005-10-27 Asm Japan Kk 薄膜形成装置
JP2007142363A (ja) * 2005-10-18 2007-06-07 Tokyo Electron Ltd 処理装置
JP2007227789A (ja) * 2006-02-24 2007-09-06 Tokyo Electron Ltd 冷却ブロック及びプラズマ処理装置
US20070272154A1 (en) * 2003-10-23 2007-11-29 Manabu Amikura Shower Head and Film-Forming Device Using the Same
JP2010062383A (ja) * 2008-09-04 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
JP2010232402A (ja) * 2009-03-27 2010-10-14 Sharp Corp 気相成長装置及び気相成長方法
JP2012500471A (ja) * 2008-08-15 2012-01-05 ラム リサーチ コーポレーション プラズマ処理装置のための複合シャワーヘッド電極アセンブリ
JP2012533890A (ja) * 2009-07-15 2012-12-27 アプライド マテリアルズ インコーポレイテッド Cvdチャンバの流れ制御機構

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
ATE181637T1 (de) * 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3953247B2 (ja) * 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101062462B1 (ko) * 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8910644B2 (en) * 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
CN102971449B (zh) * 2010-07-12 2015-01-14 株式会社爱发科 成膜装置
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
JP5792563B2 (ja) * 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6089472A (en) * 1997-06-16 2000-07-18 Trikon Equipments Limited Shower head
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
JP2003533878A (ja) * 2000-05-19 2003-11-11 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング プラズマエッチング装置
US20070272154A1 (en) * 2003-10-23 2007-11-29 Manabu Amikura Shower Head and Film-Forming Device Using the Same
JP2005303292A (ja) * 2004-04-15 2005-10-27 Asm Japan Kk 薄膜形成装置
JP2007142363A (ja) * 2005-10-18 2007-06-07 Tokyo Electron Ltd 処理装置
JP2007227789A (ja) * 2006-02-24 2007-09-06 Tokyo Electron Ltd 冷却ブロック及びプラズマ処理装置
JP2012500471A (ja) * 2008-08-15 2012-01-05 ラム リサーチ コーポレーション プラズマ処理装置のための複合シャワーヘッド電極アセンブリ
JP2010062383A (ja) * 2008-09-04 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
JP2010232402A (ja) * 2009-03-27 2010-10-14 Sharp Corp 気相成長装置及び気相成長方法
JP2012533890A (ja) * 2009-07-15 2012-12-27 アプライド マテリアルズ インコーポレイテッド Cvdチャンバの流れ制御機構

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020529124A (ja) * 2017-07-28 2020-10-01 ラム リサーチ コーポレーションLam Research Corporation モノリシックセラミックガス分配プレート
TWI835740B (zh) 2017-07-28 2024-03-21 美商蘭姆研究公司 單片陶瓷氣體分配板
KR20190117380A (ko) * 2018-04-06 2019-10-16 어플라이드 머티어리얼스, 인코포레이티드 고온 가스 분배 어셈블리
KR102189785B1 (ko) * 2018-04-06 2020-12-11 어플라이드 머티어리얼스, 인코포레이티드 고온 가스 분배 어셈블리
US11145505B1 (en) 2021-02-15 2021-10-12 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
KR20230045543A (ko) 2021-09-28 2023-04-04 도쿄엘렉트론가부시키가이샤 기판 처리를 행하는 장치, 가스 샤워 헤드, 및 기판 처리를 행하는 방법

Also Published As

Publication number Publication date
TWI643677B (zh) 2018-12-11
JP6355450B2 (ja) 2018-07-11
CN104278254A (zh) 2015-01-14
TW201526992A (zh) 2015-07-16
KR20150004769A (ko) 2015-01-13
US20150007770A1 (en) 2015-01-08
KR102126091B1 (ko) 2020-06-24
SG10201403736YA (en) 2015-02-27
CN104278254B (zh) 2017-04-12
US9677176B2 (en) 2017-06-13

Similar Documents

Publication Publication Date Title
JP6355450B2 (ja) 複数プレナム/2温度シャワーヘッド
US11848177B2 (en) Multi-plate electrostatic chucks with ceramic baseplates
CN110998816B (zh) 整体式陶瓷气体分配板
CN109219873B (zh) 具有气体孔中的孔径减小的插塞的高功率静电卡盘
TWI626685B (zh) 具有溫控之多充氣部噴淋頭
US9951421B2 (en) Inlet for effective mixing and purging
US7361228B2 (en) Showerheads for providing a gas to a substrate and apparatus
US20110180233A1 (en) Apparatus for controlling temperature uniformity of a showerhead
JP2014220231A5 (ja)
CN102142357A (zh) 等离子处理装置
CN103993293B (zh) 带温度控制的多室喷头
TW201702418A (zh) 模組化汽化器
CN107393847B (zh) 具有不同加热器迹线材料的层压加热器
CN110914954B (zh) 斜面蚀刻轮廓控制
US20170304849A1 (en) Apparatus for controlling temperature uniformity of a showerhead
TWI670433B (zh) 用於次世代先進電漿技術的腔室主體設計架構
KR20230088467A (ko) 열적 균일 증착 스테이션
KR102535097B1 (ko) 볼트리스 (boltless) 기판 지지체 어셈블리
JP4677413B2 (ja) 好ましくないガス混合無しで、高温用加工体を通る二種のガス送出用のシステム、方法及び装置
WO2023220302A1 (en) Multi-zone gas distribution for asymmetric wafer bow compensation
KR20210158409A (ko) 경사면 에칭 챔버를 위한 가열기 지지 키트

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170621

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170621

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180501

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180515

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180612

R150 Certificate of patent or registration of utility model

Ref document number: 6355450

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250