CN104278254A - 多充气室的双温喷头 - Google Patents

多充气室的双温喷头 Download PDF

Info

Publication number
CN104278254A
CN104278254A CN201410312720.1A CN201410312720A CN104278254A CN 104278254 A CN104278254 A CN 104278254A CN 201410312720 A CN201410312720 A CN 201410312720A CN 104278254 A CN104278254 A CN 104278254A
Authority
CN
China
Prior art keywords
plenum chamber
panel
shower nozzle
hole
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410312720.1A
Other languages
English (en)
Other versions
CN104278254B (zh
Inventor
拉梅什·钱德拉塞卡拉
詹妮弗·L·彼得拉利亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN104278254A publication Critical patent/CN104278254A/zh
Application granted granted Critical
Publication of CN104278254B publication Critical patent/CN104278254B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Abstract

本文提供了一种多充气室的双温喷头,具体描述了一种在半导体处理设备中使用的双温多充气室喷头。该喷头可以供应多种单独的气体到晶片反应区,同时保持气体在喷头内大致隔离。另外,该喷头可以被配置为允许所述喷头的面板相比于该喷头的剩余部分维持在显著更高的温度下。

Description

多充气室的双温喷头
技术领域
本发明涉及半导体处理设备,更具体地涉及一种多充气室的双温喷头。
背景技术
在半导体处理设备中,喷头通常用来以期望的方式(例如以均匀分布的方式)在半导体晶片上分配处理气体。这种喷头通常包括充气室,该充气室受到具有多个配气孔的面板的约束,这些配气孔导向喷头外侧。面板通常面对半导体处理室或半导体反应室中的晶片反应区,并且晶片通常位于处理室内的面板下方,例如,在将晶片支撑在面板下方位置处的晶片支架或基座上
发明内容
附图和以下描述中阐述了本说明书中描述的主题的一个或多个实施方式的细节。从说明书、附图和权利要求书可以明白其他特征、发面和优点。要注意,以下附图的相对尺寸未按比例绘制,除非特别指明按比例绘制。
在一些实施方式中,提供了一种在半导体处理设备中使用的喷头。所述喷头包括第一充气室体积空间(plenum volume)、第二充气室体积空间、面板和充气室间隔物。所述充气室间隔物可以具有第一侧和与所述充气室间隔物的第一侧相反的第二侧,并且所述面板可以具有第一侧和与所述面板的第一侧相反的第二侧。所述面板的所述第一侧可以面对所述充气室间隔物的所述第二侧并且可以从所述充气室间隔物的所述第二侧偏移第一距离以形成第一间隙。所述充气室间隔物的第一侧可以部分地约束所述第一充气室体积空间,并且所述第二充气室体积空间可以在第一间隙中位于所述充气室间隔物与面板之间。所述充气室间隔物可以包括从所述充气室间隔物的所述第二侧伸出第二距离的多个管状结构,每个所述管状结构具有外表面以及穿过所述管状结构和所述充气室间隔物的第一通孔。此外,所述面板可以包括多个第二通孔,每个所述第二通孔对应于所述管状结构中的不同的一个并且具有从所述对应的管状结构的外表面偏移至少第三距离的内表面,所述第一距离可以小于所述第二距离,并且所述面板可以与充气室间隔物在导热方面(conductively thermally)基本上绝缘。
在一些这种实施方式中,所述面板和所述充气室间隔物可以被配置为两者之间具有0.05W/(英寸2K)或更小的热导率。
在一些实施方式中,所述喷头可以进一步包括后板。在这种实施方式中,所述第一充气室体积空间还可以由后板部分地约束,并且所述后板可以包括内部冷却通道并且被配置为主动冷却。
在一些实施方式中,所述充气室间隔物可以包括被配置为使冷却剂在所述充气室间隔物内循环的一个或多个内部冷却通道。
在一些实施方式中,所述喷头可以进一步包括与射频发生器连接上并且与第一气体入口流体地连接上的等离子体圆顶。所述第一充气室体积空间还可以由等离子体圆顶部分地约束,所述等离子体圆顶和所述射频发生器可以被配置为使用来自所述第一气体入口的气体在所述第一充气室体积空间内产生等离子体。
在一些实施方式中,所述面板除多个第二通孔之外还可以具有多个第三通孔,并且所述第三通孔可以不具有对应的管状结构。
在一些实施方式中,所述管状结构可以基本上是圆筒形的,所述第一通孔和所述第二通孔可以基本上是圆形的,并且每个第二通孔的内表面与每个对应的管状结构的外表面之间的偏移可以导致在所述第二通孔与所述对应的管状结构之间的环形间隙区。
在喷头的一些实施方式中,第三距离可以小于约0.1英寸。在喷头的其他实施方式中,所述第三距离可以在约0.005英寸与0.020英寸之间。
在喷头的一些实施方式中,每个所述管状结构可以在接近所述对应的第二通孔的与所述面板的所述第二侧基本上平齐的位置处终止。
在喷头的一些实施方式中,所述喷头可以进一步包括第一气体入口和第二气体入口。所述第一气体入口可以被配置为供应气体到第一充气室体积空间,并且所述第二气体入口可以被配置为供应气体到第二充气室体积空间。所述第二气体入口可以基本上是管状,可以穿过所述充气室间隔物,并且可以横跨(span)在所述面板的所述第一侧与所述充气室间隔物的所述第二侧之间,所述第二气体入口还可以具有圆形阵列的径向配气孔,每个所述径向配气孔将所述第二气体入口和所述第二充气室体积空间流体地连接。
在一些实施方式中,所述喷头可以进一步包括一个或多个低接触面积(LCA)特征以及具有内周边的第一低导热屏障。一个或多个LCA特征可以相对于充气室间隔物支撑面板,并且所述第一低导热屏障可以插设在所述面板与所述充气室间隔物之间以便横跨第一间隙。所述第一低导热屏障可以被定位成使得所述第二通孔和第三通孔位于所述第一低导热屏障的内周边内。
在喷头的一些实施方式中,从所述面板到所述喷头的其他组件的基本上所有的导热路径可以由所述一个或多个LCA特征和所述第一低导热屏障提供。
在喷头的一些实施方式中,所述一个或多个LCA特征可以由绕着所述第一低导热屏障间隔开的多个立柱提供,所述立柱被配置为以拉伸状态支撑所述面板。
在喷头的一些实施方式中,所述喷头可以进一步包括具有内卡圈表面并且具有比所述面板小的内孔的卡圈,所述内卡圈表面从所述面板偏移至少第四距离。所述一个或多个LCA特征可以由多个LCA球提供,所述LCA球以拉伸状态支撑所述面板的所述第二侧。所述LCA球可以位于内卡圈表面与面板之间。
在喷头的一些实施方式中,所述喷头可以进一步包括具有内周边的第二低导热屏障。所述第二低导热屏障可以插设在所述面板与所述充气室间隔物之间以便横跨第一间隙,并且所述第一低导热屏障可以位于所述第二低导热屏障的内周边内。
在喷头的一些实施方式中,从所述面板到所述喷头的其他组件的基本上所有的导热路径可以由所述一个或多个LCA特征、所述第一低导热屏障和所述第二低导热屏障提供。
在喷头的一些实施方式中,第三充气室体积空间可以由所述面板的所述第一侧、所述充气室间隔物的所述第二侧、所述第一低导热屏障和所述第二低导热屏障至少部分地限定。所述面板在所述面板的第一侧还可以包括多个内部流动通道和多个第三孔。在这样的实施方式中,每个所述第三孔可以横跨在内部流动通道之一与面板的第一侧之间,并且每个第三孔可以存在于所述第一低导热屏障与所述第二低导热屏障之间的区域中所述面板的所述第一侧。
在喷头的一些实施方式中,所述第一充气室体积空间、所述第二充气室体积空间和所述第三充气室体积空间可以由单独的气体入口供气。
在一些实施方式中,所述第二通孔可以与所述内部流动通道相交,并且所述第二通孔可以由此与所述面板内的所述内部流动通道流体连通。
在一些实施方式中,所述面板在面板的第二侧可以进一步包括多个第四孔,每个所述第四孔可以横跨在内部流动通道之一与面板的第二侧之间。在一些这种实施方式中,所述第四孔可以与所述面板内的所述第二通孔流体地绝缘。
附图说明
图1A描述了多充气室的双温喷头的实例的概念性剖视示意图。
图1B描述了图1A的配备有一个或多个冷却通道的多充气室的双温喷头实例的概念性剖视示意图。
图2描述了多充气室的双温喷头的另一个实例的概念性剖视示意图。
图3A描述了具有三个充气室的多充气室的双温喷头的实例的概念性剖视示意图。
图3B描述了图3A的绘出了气流的示例性多充气室的双温喷头的概念性剖视示意图。
图4描述了与等离子体圆顶连接的多充气室的双温喷头的实例的概念性剖视示意图。
图5A描述了双充气室的双温喷头的一个实例的轴测图。
图5B描述了图5A的双充气室的双温喷头的实例的轴测剖视图。
图5C描述了图5A的封闭在虚线矩形中的部分的详细图。
图5D描述了图5A的双充气室的双温喷头的实例的斜轴测分解图。
图5E是图5D的一部分的详细图。
图6A描述了三充气室的双温喷头的一个实例的轴测图。
图6B描述了图6A的三充气室的双温喷头的实例的轴测剖视图。
图6C描述了图6A的封闭在虚线矩形中的部分的详细图。
图6D描述了图6A的三充气室的双温喷头的实例的斜轴测分解图。
图7A描述了三充气室的双温喷头的另一个实例的轴测图。
图7B描述了图7A的三充气室的双温喷头的实例的轴测剖视图。
图7C描述了图7A的封闭在虚线矩形中的部分的详细图。
图7D描述了图7A的三充气室的双温喷头的实例的斜轴测分解图。
图1A至图4未按比例绘制。图5A至图7D按比例绘制。
具体实施方式
多个实施方式的实例如附图所示并且以下将作进一步描述。应当理解,本文中的讨论并不意图将权利要求局限于所描述的具体实施方式。相反,本文中的讨论旨在涵盖被包括在由所附权利要求书限定的本发明的精神和范围内的替换、修改和等效形式。在以下说明中,阐述了多个具体细节以便提供对本发明的透彻理解。本发明可以在不具有一些或全部这些具体细节的情况下实施。在其他情况下,没有详细描述众所周知的过程操作以便不会不必要地模糊本发明。
本文描述了多种半导体处理喷头设计,这些设计说明了能够以多种方式结合以提供喷头的多种设计构思,这种喷头能单独提供两种或更多种处理气体到晶片反应区,同时在喷头内保持两种或更多种处理气体处于至少两种显著不同的温度。
在本发明的附图和讨论中采用了几个惯例。例如,在几个点提到“体积空间”,例如“充气室体积空间”。这些体积空间在多个附图中一般使用短划线、虚线或点划线来表示。应当理解,这些线表示这种体积空间的类似空间,实际体积空间可以例如延伸到约束体积空间的多个固体表面(为了可见性,在附图中表示这些体积空间的线稍微偏移可限定给定体积空间的部分的多种结构)。多种较小的体积空间(例如,穿过充气室体积空间的其他固体边界表面的气体入口或其他孔)可以流体地连接到充气室体积空间。
另外,附图中通常描绘了特定图的多种类似结构。由于空间限制,通常无法在图中标出特定元件的每个实例。因此,当在图中可能多次描绘元件时,该元件可能只是标出了一两次。应当理解,在给定图中与用标记标出的结构或元件类似的未标出的结构或元件被认定为同样对应于该标记。
应当理解,使用相对术语,例如“以上”、“在顶部”、“以下”、“下方”等,将被理解成是指组件的有关在正常使用喷头期间这些组件的取向(即,在晶片处理操作期间喷头定向成向下朝着晶片分配气体)的空间关系。类似地,例如“拉伸状态”或“压缩状态”之类的术语将被理解成是指当喷头在正常使用时组件的状态。
还应当理解,当本文中提到“导热”路径时,这种提法是指物理结构特征提供的导热路径,而不是指例如通过喷头内可能存在的气体环境的导热。
图1A描述了多充气室的双温喷头的实例的概念性剖视示意图。喷头100可以包括多个充气室体积空间,包括第一充气室体积空间102和第二充气室体积空间104。充气室间隔物108可以定位在第一充气室体积空间102与第二充气室体积空间104之间,使得充气室间隔物108的第一侧112至少部分地约束第一充气室体积空间102,并且充气室间隔物108的第二侧114至少部分地约束第二充气室体积空间104。
被设计成包括第一充气室体积空间102内的处理气体的后板182或其他结构(例如,等离子体圆顶)可以进一步约束第一充气室体积空间102。后板可以具有被配置为输送第一处理气体到第二充气室体积空间102中的一个或多个第一气体入口144。
具有第一侧116和第二侧118的面板110可以进一步约束第二充气室体积空间104。面板110的第一侧116可以从充气室间隔物108的第二侧114偏离限定第一间隙128的第一距离120。第一低导热屏障154,例如,环形薄金属密封件,可以进一步约束第二充气室体积空间104。第一低导热屏障154可以被压缩在面板的第一侧116与充气室间隔物108的第二侧114之间以便形成密封件。尽管在半导体处理环境中使用的显著低压,由第一低导热屏障154以及任何类似屏障形成的密封件能密封对抗大气环境条件与真空条件之间的压差,也可以选用第一低导热屏障154来提供较低程度的密封,例如,不能密封对抗大气环境条件与真空之间的压差,但是能基本上密封第二充气室体积空间104,对抗在低压晶片处理操作期间产生的压差(即,低压差)。
应当理解,使用气流基本上无法渗透(以便防止或阻止第一充气室体积空间或其他充气室体积空间的气流穿过低导热屏障)并且具有低导热性的各种结构可以充当第一低导热屏障以及在本文提供的示例中使用的其他潜在的低导热屏障。在图示的实例中,低导热屏障提供具有很大的长宽(因此横截面积)比的热流路径(在径向截面中),这导致比其他潜在的屏障(例如,通常限定气体充气室的O型环或固体结构元件)大为降低的导热性。
低导热屏障可以是由适合用于喷头的环境条件(温度、化学环境等)的低热导率材料制成的,例如,能够承受半导体处理环境并且还提供比铝合金的热导率低的热导率的不锈钢或高镍钢合金,比如因科镍合金(Inconel)。可以制造低导热屏障的另一种可行的材料是钨。
尽管低导热屏障可以采用环形密封件的形式,但是存在许多可以使用的低导热屏障的横截面几何形状。例如,图示的密封件具有一般描述为“M”或“W”的密封件,尽管也可以使用“S”型、“C”型、“N”或“Z”型或“V”型横截面形状以及不对应于任何特定的拼音字母的横截面形状。一般来讲,低导热屏障可以具有沿着蜿蜒路径并且自身不接触的横截面。这种低导热屏障可以因此横跨显著比低导热屏障的横截面的蜿蜒长度小的间隙。因此,穿过间隙的低导热屏障的耐热性显著高于尽可能短(即,长度刚好足够跨过面板与充气室间隔物之间的间隙)的低导热屏障的耐热性。
在一些实施方式中,低导热屏障的蜿蜒长度可以接近0.5英寸至1.5英寸,并且低导热屏障材料的厚度可以接近0.003英寸至0.009英寸。另外,在一些实施方式中,低导热屏障可以仅接触面板总表面的约1%或更小。
第二充气室体积空间104可以流体地连接第二气体入口146。在图示的实例中,第一气体入口144和第二气体入口146以同轴方式布置,第二气体入口146在中心并且穿过充气室间隔物108且伸入第二充气室体积空间104。环形阵列的径向配气孔150可以绕着第二气体入口146的部分的外周间隔开,该第二气体入口伸入第二充气室体积空间104中以允许流过第二气体入口146的气体以基本上径向对称的方式流入第二充气室体积空间104。第二气体入口146可以端接于面板110,或者如图所示,可以在到达面板110之前封端(并且可以因此不接触面板110)。
流入第一处理气体入口144的气体可以流过第一气体入口144与第二气体入口146之间的环形空间,然后流入第一充气室体积空间102。可以使用其他气体入口配置来代替如图所示的同轴配置。例如,尽管图示的同轴配置可以适合用于中心到边缘的供给充气室,但是其他的喷头几何形状可以利用边缘到中心的供给充气室,在这种情况下,一个或多个气体入口可以位于喷头的外周附近。
面板110可以与喷头100的其他组件基本上绝热。例如,可以最小化面板110与其他组件的零件对零件的接触以减小或最小化面板与喷头100的剩余部分之间的传导传热。在理想情况下,面板110可以相对于喷头100的其他组件浮在空中。然而,实际考虑的情况要求面板110与喷头100的其他组件必须存在一些接触。例如,面板110必须被物理地支撑在空间中,并且可能需要一些类型的结构将第二充气室体积空间104部分地限定在第一间隙128中。在一些实施方式中,面板还可以包括一个或多个电极或要求电流源的其他设备。在这些实施方式中,可能希望或需要在面板110与喷头100的其他组件之间提供一个或多个导电接触。面板110与喷头100的剩余部分之间的任何这种连接可以用作面板110与喷头100的剩余部分之间的导热路径。
一般来讲,与多种常规面板中看到的O型环密封件或金属与金属接触的热导率(可能接近1W/(英寸2K))相比,连接面板110和喷头100的剩余部分结构(例如,低导热屏障和低接触面积(LCA)特征)的累积热导率可以被约束为大约0.05W/(英寸2K))。有多种方式来实施满足这种约束的喷头。图示的设计仅代表一种方法,但应当理解其他方法同样在本发明的范围内。
在图1A中,面板110由多个LCA特征152支撑。在图示的实例中,LCA特征152通过使用LCA球来提供,该LCA球是插设在面板110与连接到充气室间隔物108上的卡圈170之间的球形球168。球形球168可以倚靠在面板110和卡圈170上的沟槽与凹槽中以基本上防止面板110相对于卡圈170径向滑动。球形球168可以处于压缩状态,压缩状态通过面板110的重量提供,以及通过当卡圈170连接到喷头100上时可以压缩在面板110与充气室间隔物108之间的第一低导热屏障154或其他组件提供的任何潜在的弹性力来提供。当球形球168与面板110或喷头100的剩余部分接触时,球形球168上的压缩负载实际上作为点负载或边缘负载传入该球形球。这些压缩负载路径还可用作导热路径,但这种路径的热导率由于这种路径在接触面具有非常小的横截面积而可以非常小。LCA特征152可以用于使面板110与卡圈170的卡圈表面间隔第四距离126。
如果需要,还可以使用非球形球,但可以证明这种LCA特征152难以使用,因为接触面积会取决于球相对于面板110和喷头100的剩余部分的取向。当然,除LCA球之外,还可以使用其他LCA特征152,例如,小截面立柱、边缘接触等。这些特征可以通过机加工整合在卡圈170上。
上述结构提供了第一充气室体积空间102和第二充气室体积空间104以及连通到两个充气室体积空间的气体入口。现在将讨论便于来自每个充气室体积空间的气体分配到晶片反应区(即,喷头100下方)的结构和特征。
充气室间隔物108可以包括从充气室间隔物108的第二侧114伸出的多个管状结构132。管状结构132可以与整个充气室间隔物108的结构相连,例如,充气室间隔物108和管状结构132可以是由共同的方钢材料机加工形成的或者是由单个铸件或模制品生产出来的,或者可以是分离的结构,例如,通过钎焊、扩散焊、粘合、压合或其他方式连接到充气室间隔物108的管件。每个管状结构132可以具有外表面134和第一通孔136。管状结构132可以从充气室间隔物108的第二侧114伸出第二距离122。第二距离122比第一距离120长。
面板110继而可以具有多个第二通孔138。第二通孔138的尺寸可以比管状结构132更大。例如,管状结构132的外表面134与第二通孔138的内表面142之间可以存在至少第三距离124的恒定的亦或变化的偏移。在圆管结构132和圆形第二通孔138以及管状结构132与第二通孔138之间的环形间隙130的情况中,会产生这种偏移。在具有可变的第三距离124的实施方式中,第三距离124可以相对于每个管状结构132和第二通孔138变化。例如,正常的制造公差会导致管状结构在相对于第二通孔138定位时产生一些相对错配。可替代地,或附加地,管状结构132与第二通孔138之间的名义偏差可以设计成根据管状结构132的位置变化而变化。例如,可以将喷头100设计成使得面板110外周附近的管状结构的第三距离124可以大于面板110中心附近的第三距离124。这会允许通过每个第二通孔138传输的流率作为径向位置的函数进行调试。
在一些实施方式中,第二距离122不仅比第一距离120长,而且足够长到使得每个管状结构132在基本上接近对应的第二通孔138的与面板110的第二侧118平齐的位置处终止。然而,一些其他的实施方式中,管状结构132可以在第二通孔138内的位置处终止,或者可以在经过面板110的第二侧118的位置处终止。
图1B描述了图1A的配备有一个或多个冷却通道的多充气室的双温喷头实例的概念性剖视示意图。应当理解,尽管这些通道在本文中被表述为“冷却”通道,但是这种提法还旨在包括这些通道可以用于加热或者用于更一般的温度控制的实施方式。因此,可以通过冷却通道引导流体以便增加亦或降低喷头温度。从图中可以看到,第一充气室102可以通过使用冷却通道178来冷却,该冷却通道178可以允许冷却剂通过充气室间隔物108循环。除冷却剂在充气室间隔物108内循环之外,或替代冷却剂在充气室间隔物108内循环,可选的实施方式可以提供允许冷却剂通过面板182(未示出)循环的冷却通道。这种配置可以允许在半导体处理操作期间主动冷却第二充气室体积空间。此时,由于面板110与喷头100的剩余部分之间的导热路径减少,所以通过这种冷却通道提供的冷却相对来说不会影响面板110。这允许面板110维持在比喷头100的剩余部分可能远远较热的温度,从而允许第二充气室体积空间104中的气体维持在比第一充气室体积空间102中的气体所维持的温度可能远远较热的温度。另外,面板110的第二侧118可以维持在比反应区的其他表面高很多的温度,从而在晶片上提供更高质量的沉积。
图2描述了多充气室的双温喷头的另一个实例的概念性剖视示意图。在图2中,喷头200的整体结构类似于图1A和图1B的喷头100的整体结构。应当理解,除以下讨论的图2的特定结构、元件和特征以外,使用具有与图1A和图1B的结构、元件或特征的附图标记的后两位数相同的后两位数的附图标记来标识的图2的结构、元件或特征可以基本上与以上描述的图1A和图1B的这些对应的结构、元件和特征相同。为了避免重复,对于这些项目的描述,请读者参阅之前的讨论。
喷头200与喷头100之间的一个差异是使用拉伸支承件而不是压缩支承件来支撑喷头200的面板210。如图2所示,径向阵列的旋转式凸轮锁扣262,即,具有被配置为通过凸轮特征与立柱260啮合的圆筒体,可以绕着充气室间隔物208(或喷头200的其他部分)的外周布置。立柱260可以例如通过支脚266和弹簧264与面板210连接上。弹簧264可以是盘簧,或者可以通过一些其他的机构(例如绕着每个立柱260的交替方向上布置的一堆碟形垫圈(Belleville washers))设置。支脚266可以嵌入或者以其他方式连接到面板210。支脚266可以具有例如内凸缘或肩部之类的特征,当拉动立柱260远离面板210时,这些特征可以用于压缩弹簧264。支脚266还可以从面板210伸出。当立柱260与其对应的凸轮锁扣262啮合并且对应的凸轮锁扣262旋转时,凸轮锁扣262可以尝试朝着充气室间隔物208拉动立柱260,并且最初朝着充气室间隔物208拉动面板210。当最终朝着充气室间隔物拉动立柱260和面板210足够的距离时,支脚266可以与充气室间隔物208(或其他结构)接触,并且立柱260的剩余运动可以主要由弹簧268的压缩来收纳。因此,立柱260可以处于拉伸状态。
应当理解,相对于喷头的剩余部分支撑面板的任何支承件都会涉及拉力和压力的一些组合。如果提到这种支承件处于“拉伸状态”,那么应当理解成这种支承件涉及这样的结构配置,其中由面板支承件引起的平均最大应力本质上是拉应力,例如,如同图2的凸轮锁扣/立柱配置。相反,如果提到这种支承件处于“压缩状态”,那么应当理解成这种支承件涉及这样的结构配置,其中由面板支承件引起的平均最大应力在本质上是压应力,例如,如同图1A和图1B的球形球配置。
可以看到,卡圈270与卡圈170稍有不同,不同之处在于前者在面板210附近没有台阶肩部,而是具有渐狭的肩部,该渐狭的肩部颈缩到比面板210的直径小的内径。在其他配置中,卡圈270可以根本不存在,或者可以具有比面板210的外径大的内径。如果使用卡圈270或不同设计的卡圈,那么该卡圈会从面板210偏移至少第四距离226。该间隙可以用于断热,并且防止面板210与卡圈270之间有直接导热接触。
图3A描述了具有三个充气室的多充气室的双温喷头的实例的概念性剖视示意图。图3B描述了图3A的绘出气流的示例性多充气室的双温喷头的概念性剖视示意图。在图1A、图1B和图2中,图示的喷头是双充气室喷头,该喷头被配置为在输送前在喷头内不会使气体混合的情况下输送两种不同的处理气体到晶片反应区。然而,本文所述的双温喷头构思可以应用于具有超过两个充气室的喷头。图3A和图3B描绘了一个这种喷头的实例。
在图3A和图3B中,喷头300的总体结构类似于图2的喷头200的总体结构。应当理解,除以下讨论的图3A和图3B的特定结构、元件和特征以外,使用具有与图2的结构、元件或特征的附图标记的后两位数相同的后两位数的附图标记来标识的图3A和图3B的结构、元件或特征可以基本上与以上描述的图2的这些对应的结构、元件和特征相同。为了避免重复,对于这些项目的描述,请读者参阅之前的讨论。
除第一充气室体积空间302和第二充气室体积空间304之外,喷头300还包括第三充气室体积空间306,该第三充气室体积空间位于第一低导热屏障354与第二低导热屏障356之间并且还位于充气室间隔物308与面板310之间。图示的第二低导热屏障356具有比该实例中的第一低导热屏障354的直径大的直径。
一个或多个第三气体入口348可以被配置为提供气体到第三充气室体积空间306。多个第三孔340可以使第三充气室体积空间306处于与面板310内的内部流动通道374流体连通的状态。内部流动通道374可以(如同在本实例中)在面板310内与第二通孔338流体地绝缘。在本实例中,内部流动通道374可以与第四孔376流体地连接上,从而可以允许输送到内部流动通道374的第三处理气体被输送到喷头300下方的晶片反应区。
在图示的实例中,第三气体入口348位于面板310的外周附近,并且因此被称为“边缘供气输送”。应当理解,在其他实施方式中,第三气体入口348可以设置成喷头300的中心附近的额外的同轴气体入口,例如,作为提供第一气体入口344和第二气体入口346的同轴气体入口的一部分设置。在被称为“中心供气输送”的这种实施方式中,第二低导热屏障356可以位于面板310和充气室间隔物308的中心附近(并且其直径显著小于第一低导热屏障354的直径)。一般来讲,根据本文公开的绝热结构和策略,边缘供气/中心供气输送的多种配置可以用于多种气体,这些气体可以与本文所述的喷头一起使用。
在图3B中可以看到,流入一个或多个第一气体入口344、一个或多个第二气体入口346和一个或多个第三气体入口348的处理气体能够以基本上隔离流动的方式流过喷头,直到气体被释放到晶片反应区380中。这可以基本上防止处理气体在输送到晶片反应区380之前在喷头内混合。这种隔离的流动方法可以防止处理气体在喷头内过早反应(这会导致不希望的沉积或其他副作用)。另外,隔离流动方法可以允许至少两种处理气体保持在极为不同的温度,直到气体被输送到晶片反应区380。
图4描述了与等离子体圆顶连接的多充气室的双温喷头的实例的概念性剖视示意图。在图4中,喷头400的整体结构类似于图3A和图3B的喷头300的整体结构。应当理解,除以下讨论的图4的特定结构、元件和特征以外,使用具有与图3A和图3B的结构、元件或特征的附图标记的后两位数相同的后两位数的附图标记来标识的图4的结构、元件或特征可以基本上与以上描述的图3A和图3B的这些对应的结构、元件和特征相同。为了避免重复,对于这些项目的描述,请读者参阅之前的讨论。
此前讨论的示例的喷头已经配备有后板。在一些实施方式中,例如,在旨在用于可能希望远程等离子体产生的半导体处理的喷头的实施方式中,第一充气室体积空间反而可以基本上由充气室间隔物和等离子体圆顶来限定。例如,在图4中,喷头400可以包括将等离子体圆顶484和充气室间隔物408连接的后板482(等离子体圆顶484还可以直接连接充气室间隔物408,或者直接连接图中未示出的其他组件)。等离子体圆顶484可以具有例如位于等离子体圆顶484的顶部中心附近的第一气体入口444。电极486可以位于等离子体圆顶484周围并且经由匹配网络488连接到射频(RF)发生器490。可以使用射频发生器490,通过利用经由第一处理气体入口444供应的气体,经由电极486,在等离子体圆顶484内激发等离子体。
此前的喷头实例与图4的实例之间的另一个差别是通过绕着面板410的外周间隔开的第二气体入口446提供气体到第二充气室体积空间404。这允许等离子体圆顶484不含内部结构,例如,不含可能干扰等离子体产生的中心供气第二气体入口。
以下参照图示这些实施方式的多个三维方面的更多详细附图讨论双温、多充气室喷头的额外实例。
图5A描述了双充气室的双温喷头的一个实例的轴测图。图5B描述了图5A的双充气室的双温喷头的实例的轴测剖视图。图5C描述了图5A的封闭在虚线矩形中的部分的详细图。图5D描述了图5A的双充气室的双温喷头的实例的斜轴测分解图。
可以看到,喷头500具有大体圆形的形状。尽管基于对称性的考虑,这种轴对称的整体轮廓通常在半导体制造背景下是优选的,但是喷头500也可以具有其他形状,例如,不影响处理均匀性的喷头500的部分,例如,在晶片反应区之外的部分,可以允许具有其他形状,例如,矩形、方形等。
第一充气室体积空间502和第二充气室体积空间504可以位于喷头500内,并且可通过充气室间隔物508彼此分开。第一充气室体积空间502可以通过第一气体入口544供气,并且可以位于充气室间隔物508与后板582之间。第二充气室体积空间504可以经由第二气体入口546供气,并且可以位于充气室间隔物508和面板510之间。第二气体入口546可以具有多个径向配气孔550,当气体被输送到第二充气室体积空间504中时,这些配气孔使气体最初沿径向方向流动。面板510可以由卡圈570经由LCA特征552支撑,并且第一低导热屏障554、第二低导热屏障556和第三低导热屏障557可以插设在面板510与充气室间隔物508之间。在喷头500中,使用了三个低导热屏障,但其他的实施方式,例如,类似于喷头100或300,可以只使用一个或两个低导热屏障。在本实例中,三个低导热屏障557用作柔性导电触点,用于输送电力到面板510中的电极(未示出)。多个第一通孔536可以流体地连接第一充气室体积空间502和喷头500下方的晶片反应区(未明确图示)。
从图5C的详细图可以进一步看到,第一充气室体积空间502由充气室间隔物508的第一侧512和后板582部分地约束,并且第二充气室体积空间504由充气室间隔物508的第二侧514和面板510的第一侧516部分地约束。可以进一步看到,充气室间隔物508的第二侧514可以具有被配置为接收低导热屏障的凹陷的环形通道;这有助于使低导热屏障居中于组件中并且防止低导热屏障径向移动任何明显的距离。然而,这些通道是任选的,并且还可以可替代地或附加地设置在面板510的第一侧516上。
在图示的实施方式中,其大小适用于300mm的半导体晶片,第一低导热屏障554具有大约12英寸的内径,并且凹陷的环形通道的底部与面板510之间的间隙大约是0.2英寸。由于第一低导热屏障554下弯的属性,第一低导热屏障554的蜿蜒横截面长度大约是1英寸,即,比第一低导热屏障554横跨的间隙宽度长约5倍。此外,在图示的实施方式中,面板510的总表面积(不包括孔的侧壁)大约是380平方英寸,并且由六个LCA特征552支撑,这六个特征中每一个在大约0.006平方英寸的面积上与面板510接触。除大约0.036平方英寸的LCA特征触点之外,面板还可以与图示的三个低导热屏障接触,每个低导热屏障可以与大约1平方英寸或更小的面板510接触。因此,本实例的面板510可以只是在总表面积为大约380平方英寸的面板510的大约2平方英寸至3平方英寸上直接接触其他组件,例如,面板510的总表面积的约1%或更小。当然,这些尺寸是图示的实施方式所特有的并且只是近似的。假如这些尺寸的变型产生本文所述的有益效果,那么这些尺寸的变型同样在本发明的范围内。
从图5C同样可以看到,充气室间隔物508可以具有多个管状结构532,每个管状结构与不同的第一通孔536关联。每个管状结构可以伸入(或者在该案例中穿过)位于面板510中的第二通孔538中。可以看到,管状结构532的外表面534与第二通孔538的内表面542之间存在环形间隙,在本实例中,管状结构532延伸穿过第二通孔538并且基本上与面板510的第二侧518平齐。
为了帮助肉眼观察喷头内的空气流动,在图5C中增加了表示一些气流的总体方向的箭头。例如,以实线黑色箭头表示经由第一充气室体积空间502输送的第一处理气体,并且使用白色箭头表示经由第二充气室体积空间504输送的第二处理气体。可以看到,黑色箭头表示流过第一通孔536,而白色箭头表示流过外表面534与内表面542之间的环形空间。
如上所述,图5D示出了喷头500的轴测分解剖视图。可以看到,每个组件的结构较为简单,并且组装过程可以较为流畅。另外,从图5D可以看到详细图5E,该图示出了卡圈570的一部分。特别可以看到具有LCA特征552的卡圈表面572,例如从卡圈表面上伸出的螺栓或其他类似的小突起物。
然而,图5A至图5E的喷头500是双充气室的双温喷头,图6A至图6D描绘了三充气室的双温喷头。图6A描述了三充气室的双温喷头的一个实例的轴测图。图6B描述了图6A的三充气室的双温喷头的实例的轴测剖视图。图6C描述了图6A的封闭在虚线矩形中的部分的详细图。图6D描述了图6A的三充气室的双温喷头的实例的轴测分解图。
类似于喷头500,第一充气室体积空间602和第二充气室体积空间604可以位于喷头600内,并且可通过充气室间隔物608彼此分开。第一充气室体积空间602可以通过第一气体入口644供气,并且可以位于充气室间隔物608与后板682之间。第二充气室体积空间604可以经由第二气体入口646供气,并且可以位于充气室间隔物608和面板610之间。第二气体入口646可以具有多个径向配气孔650,当气体被输送到第二充气室体积空间604中时,这些配气孔使气体最初在径向方向上流动。图6C中可以看到的第三充气室体积空间606可以通过一个或多个第三气体入口548供应气体。第三充气室体积空间可以提供处理气体到图6C中可以看到的例如形成在面板610与面板盖694之间的一个或多个内部流动通道674。
面板610可以由卡圈670经由LCA特征652支撑,并且第一低导热屏障654、第二低导热屏障656和第三低导热屏障657可以插设在面板610与充气室间隔物608之间。在喷头600中,使用了三个低导热屏障,但是第三低导热屏障657可以是任选的。第三充气室体积空间606例如可以位于第一低导热屏障654与第二低导热屏障656之间。多个第一通孔636可以流体地连接第一充气室体积空间602和喷头600下方的晶片反应区(未明确图示)。
从图6C的详细图可以进一步看到,第一充气室体积空间602由充气室间隔物608的第一侧612和后板682部分地约束,并且第二充气室体积空间604由充气室间隔物608的第二侧614和面板610的第一侧616部分地约束。可以进一步看到,正如喷头500,充气室间隔物608的第二侧614可以具有被配置为接收低导热屏障的凹陷的环形通道。
从图6C还可以看到,第三充气室体积空间606可以位于第一低导热屏障654与第二低导热屏障656之间,以及充气室分隔壁608的第二侧614与面板610的第一侧之间。第三充气室体积空间606可以经由环板692下方的环形空间以及连接环形空间与第三充气室606的多个通孔从一个或多个第三气体入口648供给气体。第三气体入口648与第三充气室体积空间606之间的流体流动连接的精确路径和配置可以与图示不同。多个第三孔640可以流体地连接第三充气室体积空间606和一个或多个内部流动通道674。
正如充气室间隔物508,充气室间隔物608可以具有多个管状结构632,每个管状结构与不同的第一通孔636关联。每个管状结构可以伸入(或者在该案例中穿过)位于面板610中的第二通孔638中。在这种情况下,第二通孔638还可以穿过面板盖694,该面板盖694被认为是面板610的子组件。在此特定实例中,在面板610的第二侧618与面板盖694之间形成大的邻接的开放体积空间。此开放体积空间形成内部流动通道674,并且被管状结构632贯穿。如图所示,在本实例中,内部流动通道674可以经由第二通孔638与第二充气室体积空间604以及晶片反应区(未示出,但是位于喷头600下方)连通。在这种情况下,引入第二充气室体积空间604并且引入一个或多个内部流动通道的气体会在喷头内混合(假设它们同时流动)。因此,当通过第二充气室体积空间604和一个或多个内部流动通道674引导的气体在喷头600内混合不会产生不希望的反应产物时,可能希望利用这种设计。可能还希望在第二充气室体积空间604内维持比内部流动通道674的压力更高的压力以防止气体从内部流动通道674回流到第二充气室体积空间604中。
为了帮助肉眼观察喷头内的空气流动,在图6C中增加了表示一些气流的总体方向的箭头。例如,以实线黑色箭头表示经由第一充气室体积空间602输送的第一处理气体,并且使用白色箭头表示经由第二充气室体积空间604输送的第二处理气体,并且使用灰色箭头表示经由第三充气室体积空间606输送的第三处理气体。可以看到,黑色箭头表示通过第一通孔636流,而白色箭头和灰色箭头表示通过外表面634与内表面642之间的环形空间的流。
如上所述,图6D示出了喷头600的轴测分解剖视图。在此附图中清楚地看到给第三充气室体积空间606供气的环板692下方的环形空间。
图7A描述了三充气室的双温喷头的另一个实例的轴测图。图7B描述了图7A的三充气室的双温喷头的实例的轴测剖视图。图7C描述了图7A的封闭在虚线矩形中的部分的详细图。图7D描述了图7A的三充气室的双温喷头的实例的轴测分解图。
在图7A至图7D中,喷头700的整体结构类似于图6A和图6D的喷头600的整体结构。应当理解,除以下讨论的图7A至图7D的特定结构、元件和特征以外,使用具有与6A图和图6D的结构、元件或特征的附图标记的后两位数相同的后两位数的附图标记来标识的图7A至图7D的结构、元件或特征可以基本上与以上描述的图6A和图6D的这些对应的结构、元件和特征相同。为了避免重复,对于这些项目的描述,请读者参阅之前的讨论。
喷头700与喷头600之间的一个不同方面是面板710和面板盖794被构造成以便防止经由第三充气室体积空间引入到一个或多个内部流动通道774的气体在喷头700内与来自第二充气室体积空间704的气体混合。
参照图7C可以更清楚地观察这些细节。可以看到,第二通孔738可以在面板710的整个厚度上具有邻接的内表面742(包括穿过面板盖794)。因此,在一个或多个内部流动通道774内流动的气体无法经由第二通孔738溢出。相反,单独的第四孔776可以被设置成允许通过内部流动通道774流动的气体流入晶片反应区中。
为了帮助肉眼观察喷头内的空气流动,在图7C中增加了表示一些气流的总体方向的箭头。例如,以实线黑色箭头表示经由第一充气室体积空间702输送的第一处理气体,使用白色箭头表示经由第二充气室体积空间704输送的第二处理气体,并且使用灰色箭头表示经由第三充气室体积空间706输送的第三处理气体。可以看到,黑色箭头表示流过第一通孔736的流,白色箭头表示流过外表面734与内表面742之间的环形空间的流,并且灰色箭头表示流过第四孔776的流。
应当理解,在上述实例中,一个或多个内部流动通道被描述为“邻接的开放体积空间”等。在一些实施方式中,可以通过面板内的离散通道(可以彼此相交或不相交)的网络提供内部流动通道。通过深孔钻或者说是贯穿面板的整个宽度形成这种通道,或者通过机加工或者说是在面板中形成通道然后使用面板盖盖住通道来设置这种通道。如果使用后板或充气室间隔物中的冷却通道,那么也可以采用这种策略用于这种冷却通道。
一般来讲,上述管状结构与用于特定实施方式的第二通孔的内表面之间的间隙会受到两种约束。第一是间隙大小,即,第三距离,总体上应当小于支持空心阴极放电的距离。仅当喷头会经受等离子体环境,例如,使用喷头400进行生产时,由于喷头内可能不会存在产生电容性放电所需的电场,这种约束才有关联。这种间隙尺寸总体上小于约0.1英寸。第二种约束是间隙尺寸应当大小适于在第二充气室体积空间内建立所需的气体流率要求的大小;这种约束与具体方法高度相关。例如,间隙尺寸可以基于满足以下条件的参数来确定:提供一个沛克莱数,该沛克莱数足够高到基本上防止在喷头内从一个充气室逆扩散到另一个充气室,或者从晶片反应区逆扩散到充气室之一中;提供一个压降,该压降足够高以促进晶片上的流动均匀性;并且在可能包括等离子体环境的任何工艺步骤期间防止或减轻喷射效果。
在一些情况下,第二通孔可以由具有相同或不同直径的额外的通孔来增强,这些额外的通孔没有穿过它们的管状结构。这些额外的通孔可以允许从第二充气室体积空间的气体增大的流量或更多散布的流量。在如图5A至图7D所示的变体中,每个第一通孔具有大约0.04英寸的直径,管状结构的外径大约是0.08英寸,并且第二通孔的内径大约是0.125英寸,使得第三距离大约是0.0225英寸。然而,这些距离可以在不同的流动条件下变化。
由例如本文公开的喷头所提供的双温能力可以在一些半导体工艺使用期间产生能在第一充气室体积空间与第二充气室体积空间之间急剧变化的热环境。在一些半导体工艺期间,在晶片反应区会产生相当大的热能,并且实际上形成反应区的一个边界的面板会在半导体处理期间接收大量热能。在典型的喷头中,这种能力可以经由与面板导热接触的其他喷头组件从面板传导。这会导致剩余的喷头组件同样升温并且防止在面板与喷头组件的剩余部分之间形成很大的温度梯度。
相比之下,例如本文公开的喷头可急剧减小来自面板并且流入剩余的喷头组件的热能的量。这有两个效果。第一个效果是,该面板与典型的面板相比,即,按照在正常处理条件下不会显著限制热流的方式与喷头的其他组件导热接触的面板相比,会保留在显著高温的条件下。另一个效果是,喷头的剩余部分可以保持在比在典型状态下的喷头的剩余部分可能保持的温度低很多的温度。
例如,在一些原子层沉积(ALD)类型的方法中,可以看到晶片反应区的温度达到或超过500℃。在这种ALD类型的方法中使用的例如本文所述的双温多流速喷头可以因此显示出大约500℃的面板温度,同时在稳定状态的处理条件中显示出25℃至30℃的温度(从而允许人的手能安全地接触后板)。在一些实施方式中,后板温度可以变化到70℃。在一些其他的实施方式中,面板温度可以维持在150℃至200℃。通常,面板的温度由支撑晶片的基座的温度以及其他因素推动,其他因素如,晶片反应区内的等离子体环境。
通过允许第一充气室体积空间和第二充气室体积空间保持在两个潜在地非常不同的温度,本文公开的喷头可以提供在多种半导体处理背景下可能有关的多种有益效果。例如,由例如本文所述的等离子体圆顶型喷头亦或与外部远程等离子体发生器连接上的本文所述的喷头产生的等离子体可以产生在半导体衬底上流动的自由基。在行进到晶片反应区中时,这些自由基会与喷头内的多个表面碰撞;每次这种碰撞会导致自由基从该表面反弹亦或与该表面上的分子或原子进行自由基重组。重组的自由基减小了最终到达晶片反应区的自由基产率。然而,除其他情形之外,自由基重组的机会至少部分地依赖于环境(在该环境下发生自由基碰撞)的温度,在热环境下,自由基重组的机会增加,并且在冷环境下,自由基重组的机会减少。因此,将第一充气室体积空间维持在显著较低的温度可以起到防止不希望的自由基重组的作用。
低温的第一充气室体积空间的另一个有益效果是,某些半导体处理利用互相反应的前体,这些前体在低温比高温下反应较慢。例如,在示例的ALD方法中,氨可以结合钛类物质(titanium species)一起使用以提供薄膜沉积方法。氨可以通过第一充气室体积空间来提供(并且保持在低温),并且钛类物质可以通过第二充气室体积空间来提供(并且保持在高温条件下)。如果偶然有些钛类物质例如通过扩散或其他机制意外地流入第一充气室体积空间中,那么钛类物质与氨的反应速率会由于低温而减小,从而减小可能在喷头中产生的反应副产物的量。
与此同时,将第二充气室体积空间维持在高温条件下(或者,更具体地讲,维持面板在高温条件下)会有助于防止处理气体在第二充气室体积空间内冷凝(这种冷凝通过阻塞出口孔会导致不均匀的气体分布,或者会导致液滴从喷头朝着晶片被喷出)。维持面板在高温条件下的另一个有益效果是可以减少或消除由于热循环而从面板剥落的膜。这可以减小晶片的微粒子污染的风险。
本文中用于多种组件的材料可以总体上选自常用作半导体处理设备的材料,例如,与使用的处理环境在化学上兼容并且表现出所期望的热性能、强度性能和电气性能的合金或材料。例如,面板可以是由陶瓷、铝或其他材料制成的。如果是由陶瓷制成的,那么电极可以嵌入陶瓷中以便于使用从第一充气室体积空间、第二充气室体积空间和/或第三充气室体积空间输送到晶片反应区的气体在晶片反应区内产生等离子体。
应当理解,上述喷头可以在半导体处理室(或反应室)和/或工具的背景下实施,或者在多站式处理室或反应室的多个站和/或工具中实施。这种喷头可以连接多种其他的设备,例如,气体供应源/线、流速控制器、阀门、电源、射频发生器、例如压力、温度或流率测量装置等传感器等。这种室或工具可以包括系统控制器,该系统控制器具有用于控制各种阀门、流速控制器和其他设备的指令以使用喷头来提供所需的半导体处理。这些指令可以包括,例如,在根据本发明的处理操作期间同时使第一处理气体流过第一充气室体积空间并且使第二处理气体流过第二充气室体积空间的指令。系统控制器通常可以包括一个或多个存储设备以及一个或多个处理器,这些处理器被配置为执行指令使得设备会实施根据本发明的方法。包括用于控制根据本发明的过程操作的指令的机器可读介质可以连接到系统控制器。
上述设备/方法可以结合例如用于制备或制造半导体器件、显示器、LED、光伏板等的光刻图案化工具或方法使用。通常,尽管并不必要,将在共同制造的设施中共同使用或操作这种工具/方法。光刻图案化薄膜通常包括以下步骤的一些或全部,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干的或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的薄膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
还应当理解,除非专门指明详细描述的实施方式之一彼此不兼容,或者周围背景暗示它们互相排斥或者不容易在互补和/或支持的意义上组合,否则整个本发明设想并预期可以选择性地组合这些互补的实施方式的具体特征以提供一个或多个综合的但是稍有不同的技术解决方案。因此会进一步认识到,只是以举例的方式给出上述说明,并且可以在本发明的范围内对细节进行修改。

Claims (24)

1.一种在半导体处理设备中使用的喷头,所述喷头包括:
第一充气室体积空间;
第二充气室体积空间;
面板;以及
充气室间隔物,其中,
所述充气室间隔物具有第一侧以及与所述充气室间隔物的所述第一侧相反的第二侧,
所述面板具有第一侧以及与所述面板的所述第一侧相反的第二侧,
所述面板的所述第一侧面对所述充气室间隔物的所述第二侧并且从所述充气室间隔物的所述第二侧偏移第一距离以形成第一间隙,
所述充气室间隔物的所述第一侧部分地约束所述第一充气室体积空间,
所述第二充气室体积空间在所述第一间隙中位于所述充气室间隔物与所述面板之间,
所述充气室间隔物包括从所述充气室间隔物的所述第二侧伸出第二距离的多个管状结构,每个所述管状结构具有外表面以及穿过所述管状结构和所述充气室间隔物的第一通孔,
所述面板包括多个第二通孔,每个所述第二通孔对应于所述管状结构中的不同的一个并且具有从所述对应的管状结构的外表面偏移至少第三距离的内表面,
所述第一距离小于所述第二距离,并且
所述面板与所述充气室间隔物在导热方面基本上绝缘。
2.根据权利要求1所述的喷头,其中,
所述面板和所述充气室间隔物被配置为两者之间具有0.05W/(英寸2K)或更小的热导率。
3.根据权利要求1所述的喷头,进一步包括后板,其中,
所述第一充气室体积空间同样受到所述后板的部分约束,并且
所述后板包括内部冷却通道并且被配置为主动冷却。
4.根据权利要求1所述的喷头,其中,所述充气室间隔物包括被配置为使冷却剂在所述充气室间隔物内循环的一个或多个内部冷却通道。
5.根据权利要求1所述的喷头,其进一步包括与射频发生器连接上并且与第一气体入口流体地连接上的等离子体圆顶,其中,
所述第一充气室体积空间同样受到所述等离子体圆顶的部分约束,并且
所述等离子体圆顶和所述射频发生器被配置为使用来自所述第一气体入口的气体在所述第一充气室体积空间内产生等离子体。
6.根据权利要求1所述的喷头,其中,
所述面板除所述多个第二通孔之外还具有多个额外的第二通孔,并且
所述额外的第二通孔不具有对应的管状结构。
7.根据权利要求1所述的喷头,其中,所述管状结构基本上是圆筒形的,并且所述第一通孔和所述第二通孔基本上是圆形的,并且每个第二通孔的内表面与每个对应的管状结构的外表面之间的偏移导致在所述第二通孔与所述对应的管状结构之间的环形间隙区。
8.根据权利要求1所述的喷头,其中,所述第三距离小于约0.1英寸。
9.根据权利要求1所述的喷头,其中,所述第三距离在约0.005英寸至0.020英寸之间。
10.根据权利要求1所述的喷头,其中,每个所述管状结构在接近所述对应的第二通孔的与所述面板的所述第二侧基本上平齐的位置处终止。
11.根据权利要求1至10中的任一项所述的喷头,其进一步包括:
第一气体入口;以及
第二气体入口,其中,
所述第一气体入口被配置为供应气体到所述第一充气室体积空间中,
所述第二气体入口被配置为供应气体到所述第二充气室体积空间中,
所述第二气体入口基本上是管状,穿过所述充气室间隔物,并且横跨在所述面板的所述第一侧与所述充气室间隔物的所述第二侧之间,
所述第二气体入口具有圆形阵列的径向配气孔,每个所述径向配气孔将所述第二气体入口和所述第二充气室体积空间流体地连接。
12.根据权利要求1至10中的任一项所述的喷头,进一步包括:
一个或多个低接触面积(LCA)特征;以及
具有内周边的第一低导热屏障,其中,
所述一个或多个低接触面积特征相对于所述充气室间隔物支撑所述面板,
所述第一低导热屏障被插设在所述面板与所述充气室间隔物之间以便横跨所述第一间隙,以及
所述第一低导热屏障被定位成使得所述第二通孔位于所述第一低导热屏障的内周边内。
13.根据权利要求12所述的喷头,其中,从所述面板到所述喷头的其他组件的基本上所有的导热路径由所述一个或多个低接触面积特征和所述第一低导热屏障提供。
14.根据权利要求12所述的喷头,其中,所述一个或多个低接触面积特征由绕着所述第一低导热屏障间隔开的多个立柱提供,所述立柱被配置为以拉伸状态支撑所述面板。
15.根据权利要求12所述的喷头,进一步包括具有内卡圈表面并且具有比所述面板小的内孔的卡圈,所述内卡圈表面从所述面板偏移至少第四距离,其中,所述一个或多个低接触面积特征由多个低接触面积球提供,所述多个低接触面积球以压缩状态支撑所述面板的所述第二侧,所述低接触面积球位于所述内卡圈表面与所述面板之间。
16.根据权利要求12所述的喷头,其进一步包括具有内周边的第二低导热屏障,其中,
所述第二低导热屏障被插设在所述面板与所述充气室间隔物之间以便横跨所述第一间隙,并且
所述第一低导热屏障位于所述第二低导热屏障的内周边内。
17.根据权利要求16所述的喷头,其中,从所述面板到所述喷头的其他组件的基本上所有的导热路径由所述一个或多个低接触面积特征、所述第一低导热屏障和所述第二低导热屏障提供。
18.根据权利要求16所述的喷头,其中,
第三充气室体积空间由所述面板的所述第一侧、所述充气室间隔物的所述第二侧、所述第一低导热屏障和所述第二低导热屏障至少部分地限定;
所述面板包括:
多个内部流动通道,以及
所述面板的所述第一侧的多个第三孔,其中,
每个所述第三孔横跨在所述内部流动通道之一与所述面板的所述第一侧之间,并且
每个所述第三孔存在于所述第一低导热屏障与所述第二低导热屏障之间的区域中所述面板的所述第一侧。
19.根据权利要求18所述的喷头,其中,所述第一充气室体积空间、所述第二充气室体积空间和所述第三充气室体积空间都由单独的气体入口供气。
20.根据权利要求18所述的喷头,其中,所述第二通孔与所述内部流动通道相交,并且所述第二通孔由此与所述面板内的所述内部流动通道流体连通。
21.根据权利要求18所述的喷头,其中,所述面板在所述面板的所述第二侧进一步包括多个第四孔,其中,
每个所述第四孔横跨在所述内部流动通道之一与所述面板的所述第二侧之间。
22.根据权利要求21所述的喷头,其中,所述第四孔与所述面板内的所述第二通孔流体地隔离。
23.一种半导体处理设备,其包括:
反应室;
晶片支承件;以及
喷头,所述喷头包括:
第一充气室体积空间;
第二充气室体积空间;
面板;以及
充气室间隔物,其中,
所述充气室间隔物具有第一侧以及与所述充气室间隔物的所述第一侧相反的第二侧,
所述面板具有第一侧以及与所述面板的所述第一侧相反的第二侧,
所述面板的所述第一侧面对所述充气室间隔物的所述第二侧并且从所述充气室间隔物的所述第二侧偏移第一距离以形成第一间隙,
所述充气室间隔物的所述第一侧部分地约束所述第一充气室体积空间,
所述第二充气室体积空间在所述第一间隙中位于所述充气室间隔物与所述面板之间,
所述充气室间隔物包括从所述充气室间隔物的所述第二侧伸出第二距离的多个管状结构,每个所述管状结构具有外表面以及穿过所述管状结构和所述充气室间隔物的第一通孔,
所述面板包括多个第二通孔,每个所述第二通孔对应于所述管状结构中的不同的一个并且具有从所述对应的管状结构的外表面偏移至少第三距离的内表面,
所述第一距离小于所述第二距离,并且
所述面板与所述充气室间隔物在导热方面基本上绝缘,其中,
所述半导体处理设备被配置为使得所述喷头的所述面板的所述第二侧面对所述晶片支承件,并且
所述晶片支承件被配置为支撑所述反应室内的半导体晶片。
24.一种半导体处理工具,其包括:
一个或多个反应室,至少一个反应室具有晶片支承件和喷头,所述喷头包括:
第一充气室体积空间;
第二充气室体积空间;
面板;以及
充气室间隔物,其中,
所述充气室间隔物具有第一侧以及与所述充气室间隔物的所述第一侧相反的第二侧,
所述面板具有第一侧以及与所述面板的所述第一侧相反的第二侧,
所述面板的所述第一侧面对所述充气室间隔物的所述第二侧并且从所述充气室间隔物的所述第二侧偏移第一距离以形成第一间隙,
所述充气室间隔物的所述第一侧部分地约束所述第一充气室体积空间,
所述第二充气室体积空间在所述第一间隙中位于所述充气室间隔物与所述面板之间,
所述充气室间隔物包括从所述充气室间隔物的所述第二侧伸出第二距离的多个管状结构,每个所述管状结构具有外表面以及穿过所述管状结构和所述充气室间隔物的第一通孔,
所述面板包括多个第二通孔,每个所述第二通孔对应于所述管状结构中的不同的一个并且具有从所述对应的管状结构的外表面偏移至少第三距离的内表面,
所述第一距离小于所述第二距离,并且
所述面板与所述充气室间隔物在导热方面基本上绝缘,其中,
所述半导体处理工具被配置为使得所述喷头的所述面板的所述第二侧面对所述晶片支承件,并且
所述晶片支承件被配置为支撑所述至少一个反应室内的半导体晶片。
CN201410312720.1A 2013-07-03 2014-07-02 多充气室的双温喷头 Active CN104278254B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/934,597 US9677176B2 (en) 2013-07-03 2013-07-03 Multi-plenum, dual-temperature showerhead
US13/934,597 2013-07-03

Publications (2)

Publication Number Publication Date
CN104278254A true CN104278254A (zh) 2015-01-14
CN104278254B CN104278254B (zh) 2017-04-12

Family

ID=52131953

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410312720.1A Active CN104278254B (zh) 2013-07-03 2014-07-02 多充气室的双温喷头

Country Status (6)

Country Link
US (1) US9677176B2 (zh)
JP (1) JP6355450B2 (zh)
KR (1) KR102126091B1 (zh)
CN (1) CN104278254B (zh)
SG (1) SG10201403736YA (zh)
TW (1) TWI643677B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019011317A1 (zh) * 2017-07-14 2019-01-17 君泰创新(北京)科技有限公司 匀流板和工艺腔匀气装置
CN109536924A (zh) * 2015-05-26 2019-03-29 朗姆研究公司 抗瞬变喷头
US20200087790A1 (en) * 2018-09-14 2020-03-19 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
CN110998816A (zh) * 2017-07-28 2020-04-10 朗姆研究公司 整体式陶瓷气体分配板
CN112827678A (zh) * 2020-12-31 2021-05-25 拓荆科技股份有限公司 一种喷淋头用加热环
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
CN113249786A (zh) * 2021-05-11 2021-08-13 北京北方华创微电子装备有限公司 进气结构和半导体工艺设备
CN113249687A (zh) * 2021-04-14 2021-08-13 拓荆科技股份有限公司 一种真空内电加热喷淋头结构
WO2021197200A1 (zh) * 2020-04-03 2021-10-07 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
WO2021216449A1 (en) * 2020-04-23 2021-10-28 Applied Materials, Inc. Faceplate with edge flow control
CN113804046A (zh) * 2020-06-15 2021-12-17 拓荆科技股份有限公司 一种低成本的主动控温喷淋头
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (436)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
FI129700B (en) 2017-10-18 2022-07-15 Beneq Oy Nozzle head
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US20190226088A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. High temperature faceplate with thermal choke and cooling
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US20190309419A1 (en) * 2018-04-06 2019-10-10 Applied Materials, Inc. High temperature gas distribution assembly
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
FI128427B (en) 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10900124B2 (en) 2018-06-12 2021-01-26 Lam Research Corporation Substrate processing chamber with showerhead having cooled faceplate
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11970775B2 (en) 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020159799A1 (en) * 2019-02-01 2020-08-06 Lam Research Corporation Showerhead for deposition tools having multiple plenums and gas distribution chambers
US10946395B2 (en) * 2019-02-06 2021-03-16 Kevin J. Medeiros Shower head
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102170451B1 (ko) * 2020-01-22 2020-10-28 (주)이큐테크플러스 프리커서와 반응가스를 함께 분사하는 라디컬 유닛 및 이를 포함하는 ald장치
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
DE102020103946A1 (de) * 2020-02-14 2021-08-19 AIXTRON Ltd. Gaseinlasseinrichtung für einen CVD-Reaktor
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11242600B2 (en) 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220071849A (ko) 2020-11-24 2022-05-31 한국전자기술연구원 샤워 헤드 및 그를 포함하는 박막 증착 장치
WO2022114583A1 (ko) 2020-11-24 2022-06-02 한국전자기술연구원 샤워 헤드 및 그를 포함하는 박막 증착 장치
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7114763B1 (ja) 2021-02-15 2022-08-08 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
CN113265648B (zh) * 2021-04-01 2022-09-16 湖南卓荣金属材料科技有限公司 一种一体式粉末气相沉积喷涂装置
CN115138491A (zh) * 2021-04-02 2022-10-04 厦门松霖科技股份有限公司 关水的方法和出水装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
DE102021114868A1 (de) 2021-06-09 2022-12-15 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023048726A (ja) 2021-09-28 2023-04-07 東京エレクトロン株式会社 基板処理を行う装置、ガスシャワーヘッド、及び基板処理を行う方法
US20230294116A1 (en) * 2022-03-21 2023-09-21 Applied Materials, Inc. Dual channel showerhead assembly
WO2024091420A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Showerhead with three plenums

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1574229A (zh) * 2003-05-22 2005-02-02 周星工程股份有限公司 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备
CN102424956A (zh) * 2011-12-02 2012-04-25 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
EP0710055B1 (en) * 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3953247B2 (ja) * 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
JP4844167B2 (ja) * 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5026373B2 (ja) * 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP4576466B2 (ja) * 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
KR101062462B1 (ko) * 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8910644B2 (en) * 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
DE112011102327T5 (de) * 2010-07-12 2013-06-06 Ulvac, Inc. Schichtbildungsvorrichtung
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
JP5792563B2 (ja) * 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1574229A (zh) * 2003-05-22 2005-02-02 周星工程股份有限公司 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备
CN102424956A (zh) * 2011-12-02 2012-04-25 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
CN109536924A (zh) * 2015-05-26 2019-03-29 朗姆研究公司 抗瞬变喷头
CN109536924B (zh) * 2015-05-26 2022-04-05 朗姆研究公司 抗瞬变喷头
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN109563622A (zh) * 2017-07-14 2019-04-02 君泰创新(北京)科技有限公司 匀流板和工艺腔匀气装置
WO2019011317A1 (zh) * 2017-07-14 2019-01-17 君泰创新(北京)科技有限公司 匀流板和工艺腔匀气装置
CN110998816A (zh) * 2017-07-28 2020-04-10 朗姆研究公司 整体式陶瓷气体分配板
CN110998816B (zh) * 2017-07-28 2023-12-01 朗姆研究公司 整体式陶瓷气体分配板
US20200087790A1 (en) * 2018-09-14 2020-03-19 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
WO2021197200A1 (zh) * 2020-04-03 2021-10-07 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
KR20220146546A (ko) * 2020-04-03 2022-11-01 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 반도체 디바이스 중의 가스 분배기 및 반도체 디바이스
KR102534502B1 (ko) 2020-04-03 2023-05-26 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 반도체 디바이스 중의 가스 분배기 및 반도체 디바이스
WO2021216449A1 (en) * 2020-04-23 2021-10-28 Applied Materials, Inc. Faceplate with edge flow control
US11810764B2 (en) 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
CN113804046A (zh) * 2020-06-15 2021-12-17 拓荆科技股份有限公司 一种低成本的主动控温喷淋头
CN113804046B (zh) * 2020-06-15 2023-10-13 拓荆科技股份有限公司 一种低成本的主动控温喷淋头
CN112827678A (zh) * 2020-12-31 2021-05-25 拓荆科技股份有限公司 一种喷淋头用加热环
CN113249687A (zh) * 2021-04-14 2021-08-13 拓荆科技股份有限公司 一种真空内电加热喷淋头结构
CN113249786A (zh) * 2021-05-11 2021-08-13 北京北方华创微电子装备有限公司 进气结构和半导体工艺设备

Also Published As

Publication number Publication date
US9677176B2 (en) 2017-06-13
KR20150004769A (ko) 2015-01-13
SG10201403736YA (en) 2015-02-27
US20150007770A1 (en) 2015-01-08
JP6355450B2 (ja) 2018-07-11
TWI643677B (zh) 2018-12-11
JP2015015466A (ja) 2015-01-22
CN104278254B (zh) 2017-04-12
TW201526992A (zh) 2015-07-16
KR102126091B1 (ko) 2020-06-24

Similar Documents

Publication Publication Date Title
CN104278254A (zh) 多充气室的双温喷头
TWI676708B (zh) 具有傳導性控制之化學沉積設備
US10626500B2 (en) Showerhead design
TWI626685B (zh) 具有溫控之多充氣部噴淋頭
TWI689615B (zh) 供應製程氣體及處理半導體晶圓的設備
US20150030766A1 (en) Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
US10711347B2 (en) Micro-volume deposition chamber
CN106167895A (zh) 用于改善流动均匀性的具有面板孔的低体积喷头
JP2014220231A5 (zh)
WO2011094143A2 (en) Apparatus for controlling temperature uniformity of a showerhead
CN103993293B (zh) 带温度控制的多室喷头
TW202143289A (zh) 半導體反應腔室及原子層等離子體蝕刻設備
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
TW201626432A (zh) 方位角混合器
CN110249073A (zh) 用于可流动cvd的扩散器设计
CN110904438A (zh) 用于多种化学源之气体分配装置
JP4677413B2 (ja) 好ましくないガス混合無しで、高温用加工体を通る二種のガス送出用のシステム、方法及び装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant