TWI676708B - 具有傳導性控制之化學沉積設備 - Google Patents

具有傳導性控制之化學沉積設備 Download PDF

Info

Publication number
TWI676708B
TWI676708B TW107138535A TW107138535A TWI676708B TW I676708 B TWI676708 B TW I676708B TW 107138535 A TW107138535 A TW 107138535A TW 107138535 A TW107138535 A TW 107138535A TW I676708 B TWI676708 B TW I676708B
Authority
TW
Taiwan
Prior art keywords
cavity
exhaust
exemplary embodiment
chemical
deposition
Prior art date
Application number
TW107138535A
Other languages
English (en)
Other versions
TW201907051A (zh
Inventor
謙德拉瑟哈蘭拉密許
Ramesh Chandrasekharan
里瑟卡爾
Karl Leeser
夏春光
Chunguang Xia
塔克傑里米
Jeremy Tucker
Original Assignee
美商蘭姆研究公司
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司, Lam Research Corporation filed Critical 美商蘭姆研究公司
Publication of TW201907051A publication Critical patent/TW201907051A/zh
Application granted granted Critical
Publication of TWI676708B publication Critical patent/TWI676708B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明揭露一種具有傳導性控制的化學沉積設備,該設備包含一具有面板和背板之噴淋頭模組,該噴淋頭模組包含將反應器化學品傳送至一空腔中的複數進氣口及移除反應器化學品的排氣口;一支座模組,用以支撐一基板且垂直地移動以關閉該支座模組和該面板的一外部部分之間的一空腔;以及至少一傳導性控制組件,該傳導性控制組件係經由排氣口與該空腔流體連接。該至少一傳導性控制組件係選自以下之一或更多者:一球閥組件、一流體閥、磁性聯接的旋轉板,及/或線性為主的磁性系統。

Description

具有傳導性控制之化學沉積設備
本發明關於用於進行化學沉積、以及於進行電漿增強化學沉積時使用的設備及處理。
電漿處理設備可用於處理半導體基板,此係透過包含以下之技術:蝕刻、物理汽相沉積(PVD)、化學汽相沉積(CVD)、電漿增強化學汽相沉積(PECVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、脈衝沉積層(PDL)、電漿增強脈衝沉積層(PEPDL)處理,以及光阻移除。例如,用於電漿處理之一種類型的電漿處理設備包括一含有頂部電極和底部電極的反應或沉積腔室。一射頻(RF)電力係施加於該等電極之間以將一處理氣體或反應器化學品激發為用以在反應腔室中處理半導體基板的電漿。
本發明揭露一種化學沉積設備,包含:一化學隔離腔室;一形成於該化學隔離腔室中的沉積腔室;一具有一面板和一背板之噴淋頭模組,該噴淋頭模組包含複數進氣口及排氣口,其中該等進氣口將反應器化學品傳送至一空腔中且該等排氣口移除反應器化學品;至少一傳導性控制組件,該傳導性控制組件係經由該等排氣口與該空腔流體連接;以及一排氣設備,該排氣設備係由該一或更多排氣真空管線流體連接至該至少一傳導性控制組件,該至少一傳導性控制組件係選自以下之一或更多者:(a)一球閥組件,該球閥組件包含:一具有一圓錐形下部部分之殼體;一從該殼體的該圓錐形下部部分延伸至該空腔之一或更多排氣口的管道,該管道具有一進氣口和一排氣口;以及一用以裝配於該圓錐形下部部分內之球形體,且其中該球形體係用以於一用劑步驟期間阻擋該管道之該排氣口並防止反應器化學品經由該管道流動,以及在清除步驟期間,當超出該空腔內之第一壓力及流率時,透過向上升高以提供一開口於該球形體之一下表面及該管道之該排氣口之間,使反應器化學品和一清除氣體可從該空腔流動進入一或更多排氣真空管線中;(b)一流體閥,該流體閥具有一調節氣體部分和一腔室流出部分,該調節氣體部分係用以將來自一調節氣體供應器之調節氣體流引入至來自該空腔之反應器化學品流,且其中該調節氣體流調節來自該空腔之反應器化學品所經歷的流動阻力;(c)一旋轉閥,該旋轉閥包含:一上部旋轉板;以及一磁性耦接至該上部旋轉板之下部旋轉板,該下部旋轉板具有複數管道,該等管道之每一者係用以從該噴淋頭模組內之對應的排氣管道接收來自該空腔之反應器化學品,其中該排氣管道係與該等排氣口之一或更多者流體連接;及/或(d)一磁力聯結線性閥,該磁力聯結線性閥包含:一磁性殼體;以及複數直桿,該等直桿係用以磁性地上升及降低於複數通道內,該複數直桿之每一者具有一近端部分,用以磁性連接至該磁性殼體並將該複數直桿於該磁性殼體內升高及降低;以及一遠端部,其作為一閥以將反應器化學品及/或清除氣體從排氣口釋放。
一種控制在一化學沉積設備之一空腔內之傳導性的方法,包含:處理位在該化學沉積設備之該空腔內的一基板,該空腔係形成於一噴淋頭​​模組和一用以容納該基板的基板支座模組之間,其中該噴淋頭模組包含將反應器化學品傳送至該空腔之複數進氣口以及將反應器化學品及清除氣體從該空腔中移除的複數排氣口;注入一清除氣體至該空腔中;以及以至少一傳導性控制組件控制該空腔中之傳導性的變化,該傳導性控制組件係透過該等排氣口與該空腔流體連接,該至少一傳導性控制組件係選自以下之一或更多者:(a)一球閥組件,該球閥組件包含:一具有一圓錐形下部部分之殼體;一從該殼體的該圓錐形下部部分延伸至該空腔之該等排氣口之一或更多者的管道,該管道具有一進氣口和一排氣口;以及一用以裝配於該圓錐形下部部分內之球形體,且其中該球形體係用以於一用劑步驟期間阻擋該管道之該排氣口並防止該等反應器化學品經由該管道流動,以及在清除步驟期間,當超出該空腔內之第一壓力及流率時,透過向上升高以提供一開口於該球形體之一下表面及該管道之該排氣口之間,使該等反應器化學品和一清除氣體可從該空腔流動進入一或更多排氣真空管線中;(b)一流體閥,該流體閥具有一調節氣體部分和一腔室流出部分,該調節氣體部分係用以將來自一調節氣體供應器之調節氣體流引入至來自該空腔之該等反應器化學品流,且其中該調節氣體流調節來自該空腔之該等反應器化學品所經歷的流動阻力;(c)一旋轉閥,該旋轉閥包含:一上部旋轉板;以及一磁性耦接至該上部旋轉板之下部旋轉板,該下部旋轉板具有複數管道,該等管道之每一者係用以從該噴淋頭模組內之對應的排氣管道接收來自該空腔之反應器化學品,其中該排氣管道係與該等排氣口之一或更多流體連接;及/或(d)一磁力聯結線性閥,該磁力聯結線性閥包含:一磁性殼體;以及複數直桿,該等直桿係用以磁性地上升及降低於複數通道內,該複數直桿之每一者具有一近端部分,用以磁性連接至該磁性殼體並將該複數直桿於該磁性殼體內升高及降低;以及一遠端部,其作為一閥以將反應器化學品及/或清除氣體從排氣口釋放。
在以下之詳細發明內容中,提供示例性實施例以提供對本發明之設備和方法的理解。然而,如對熟習本領域技術者顯而易見地,本發明之示例性實施例可在缺乏這些具體細節,或使用替代性的元件或處理之情況下實踐。在其他情況下,眾所周知的處理、流程、及/或部件則不予詳細描述,以免非必要地使本文所揭露之實施例的實施態樣失焦。
根據一示例性實施例,本文所揭露之設備及相關的方法可用於進行化學沉積,例如電漿增強化學沉積。該設備和方法可連同以半導體製造為基礎的介電沉積處理一起使用,在多步驟沉積處理中,該處理需要將自限性的沉積步驟(例如,原子層沉積(ALD)、電漿增強原子層沉積( PEALD)、脈衝沉積層(PDL)、或電漿增強脈衝沉積層(PEPDL)處理)分離,但是它們並非如此侷限。
如上所述,本實施例提供用於進行如電漿增強化學汽相沉積之化學沉積的設備和相關之方法。該設備和方法對於連同需要在多步驟沉積處理中將自限性的沉積步驟分離的以半導體加工為基礎之介電沉積處理(例如,原子層沉積(ALD)、電漿增強原子層沉積( PEALD)、電漿增加汽相沉積(PECVD)、脈衝沉積層(PDL)、或電漿增強脈衝沉積層(PEPDL)處理)而言,係特別適用,但是它們並非如此侷限。
上述處理可能有一些缺點,該等缺點係與在整個接受沉積材料之晶圓或基板上之非均勻的溫度有關。例如,當與周圍腔室組件熱接觸之被動地受加熱的噴淋頭使熱流失給周圍部件時,非均勻之溫度可能出現於整個基板。因此,形成處理區域之上壁的噴淋頭係較佳地與周圍部件熱隔離,俾使可形成一等溫處理區域,由此形成遍及基板之均勻的溫度。遍及基板的均勻溫度有助於複數基板之均勻的處理,其中基板溫度提供用於沉積處理之活化能,且係因此為控制用於驅動沉積反應的控制方法。
另外,通常有兩種主要類型的沉積噴淋頭,即吊燈型和嵌入安裝型。吊燈型噴淋頭具有一桿,該桿之一端連接至該腔室的頂部,另一端連接至面板,類似於吊燈。桿的一部分可突出至腔室頂部,以使氣體管線和射頻電源供應器連接。嵌入安裝型噴淋頭係結合至腔室的頂部且不具有桿。本發明之實施例可關於嵌入安裝型噴淋頭,其中該嵌入安裝型噴淋頭減少在處理期間須由一真空源所抽空的腔室容積。
圖1A和1B為示意圖,繪示根據本文所揭露之實施例的化學沉積設備100。如圖1A和1B所示,該化學設備包含化學隔離腔室或殼體110、沉積腔室120、噴淋頭模組130、和一移動式支座模組140,移動式支座模組140可相對於噴淋頭模組130升高或降低,以升高和降低設置於支座模組140的上表面上之基板(或晶圓)190。噴淋頭模組130亦可被垂直地升高和降低。反應物材料氣體(未繪示)係經由氣體管線112引入子腔室120。氣體管線112之每一者可具有對應的累積器,累積器可使用隔離閥與設備100分離。根據一示例性實施例,設備100可被修改為具有一或更多氣體管線112與隔離閥和累積器,此取決於所使用的反應物氣體之數量。此外,反應氣體輸送管線112可於複數化學沉積設備或​​多站系統之間共享。
根據一示例性實施例,腔室120可透過連接至真空源(未繪示)的一或更多真空管線160抽空。例如,真空源可為一真空泵(未繪示)。在多站反應器中,例如,執行相同的沉積處理之具有數個站或設備100者,來自另一站之真空管線160與真空管線160可共享一共同的前段線(例如,真空泵之間的真空管線)。此外,設備100可修改為在每站或設備100具有一或更多真空管線160。
根據一示例性實施例,複數排氣管道170可用以與噴淋頭模組130的面板136內之一或更多排氣口174流體連接。排氣口174可用以在沉積處理之間,將處理氣體或反應器化學品192從空腔150移除。複數排氣管道170亦與該一或更多真空管線160流體連接​​。排氣管道170可圍繞基板190周向地間隔開,且可均勻地間隔開。在一些示例中,複數管道170之間距可設計為補償真空管線160的位置。因為通常真空管線160比複數管道170之數量少,因此經由離真空管線160最近的管道170之流動可能高於離真空管線較遠者。為確保平穩的流動模式,若管道170更遠離真空管線160,則可被更緊密排列在一起。包含一可變流導體的複數管道170之化學沉積設備100的一示例性實施例可在共同受讓的美國專利第7993457號中找到,其係併入於此作為參考。
本文所揭露之實施例係較佳地於電漿增強化學沉積設備(即PECVD設備、PEALD設備、或PEPDL設備)中實施。此設備可具備不同的形式,其中該設備可包含容納一或更多基板且適用於基板處理之一或更多腔室或「反應器」(有時包含如上所述之數個站,或沉積腔室)。各腔室可容納一或更多基板以進行處理。該一或更多腔室將基板維持在一規定的位置或數個位置(在該位置具有或不具有例如旋轉、振動或其他擾動之動作的情況下)。在一實施例中,在處理期間,正在接受沉積和處理之基板190可從一站(例如沉積腔室)轉移到另一設備內。而在處理中,各基板190係由一支座組件140、晶圓夾盤及/或其他晶圓夾持設備所固持。例如,對於基板190待加熱之操作中,設備100可包含一加熱器,例如加熱板。
支座模組140係降低以接收基板或晶圓及/或將基板或晶圓從支座組件140的上表面上卸載。在下部位置時,一基板係放置在支座模組140的表面上,支座模組140係接著垂直向上朝該噴淋頭模組130升高。根據一示例性實施例,形成一空腔150之支座模組140的上表面142和噴淋頭模組130的下表面132之間的距離可為大約0.2英寸(5mm )至約0.6英寸(15.25mm )。
根據一示例性實施例, 噴淋頭模組130係用以將反應器化學品輸送至空腔(或反應腔室)150。噴淋頭模組130可包含面板136,該面板136具有複數進氣口或通孔138及背板139。根據一示例性實施例,面板136可為有複數進氣口或通孔138和台階135的單一板件,該板件圍繞面板136之外周137延伸。可替代地,台階135可為一獨立的環133,其係固定至面板136的外部部分131之下表面。例如,台階135可用螺絲143固定至面板136的外部部分131。用於分配處理氣體、包含一具有同心排氣口174之面板的噴淋頭模組130之示例性實施例可在共同受讓的美國專利第5614026號中找到,其係併入於此作為參考。例如,根據一示例性實施例,排氣口174圍繞該複數進氣口138。
在一示例性實施例中,腔室120內的溫度可透過在噴淋頭模組130及/或支座組件140中的加熱機構維持。例如,基板190可位於等溫環境中,其中噴淋頭模組130和支座模組140係用以將基板190維持在期望的溫度。例如,在一示例性實施例中,噴淋頭模組130可被加熱至250°C以上,及/或支座模組140可被加熱至250°C以上。沉積腔室120用於容納由電容耦合電漿型系統產生的電漿,該電容耦合電漿型系統包含結合支座組件140使用的噴淋頭模組130。
(複數)射頻源,例如連接至一匹配網路(未繪示)之高頻(HF)射頻產生器,以及一低頻(LF)射頻產生器係連接至噴淋頭模組130。由匹配網路提供的電力和頻率足以從處理氣體/蒸氣生成電漿 。在一較佳實施例中,使用高頻產生器和低頻產生器兩者。在一典型的處理中,HF產生器通常係以約2-100MHz之頻率操作;在一較佳實施例中以13.56MHz操作。 LF產生器係通常以約50kHz至2 ​​MHz的頻率操作;在一較佳實施例中係以約350至600kHz操作。處理參數可根據腔室容積、基板尺寸以及其他因素縮放。例如,LF和HF的電力輸出係通常直接正比於基板之沉積表面面積。例如,300mm 晶圓所使用的功率通常為用於200mm 晶圓的功率之至少2.25倍以上。類似地,如標準蒸氣氣壓之流率,將取決於真空腔室或沉積腔室120的自由體積。
在沉積腔室120中,支座模組140支撐其上可沉積材料的基板190。支座模組140通常包含夾盤、叉件、或升降銷以在沉積及/或電漿處理反應期間之間固持並傳送基板。支座模組140可包含如可用於業界及/或研究用途之靜電夾盤、機械夾盤、或各種其它類型的夾盤。支座模組140可與用以將基板190加熱至期望之溫度的加熱塊連接。通常,取決於待沉積的材料,基板190係維持在約25°℃至500º℃的溫度。
根據一示例性實施例,沉積腔室120包含一或更多傳導性控制組件200,其係用以於處理材料氣體或反應器化學品之流動(例如,給料步驟)以及使膜形成於晶圓或基板190上的反應或電漿步驟(例如,反應步驟)、以及處理材料氣體或反應器化學品的和抽空或清除(例如,清除步驟)期間,控制和調節沉積腔室120內之腔室壓力。根據一示例性實施例,腔室120之抽空或清除係使用惰性或清除氣體。例如,如圖2-圖9所示,傳導性控制組件200可包含一或更多球閥組件300(圖2和圖3)、一或更多流體閥400(圖4和圖5)、及/或一或更多旋轉、方位角、及/或如本文所揭露之線性閥500、600(圖6-9)。根據一示例性實施例,該複數排氣管道170可位於基板190之軸向及/或上方處,且可包含與傳導性控制組件200流體連接的一或更多管道及/或通道。根據一示例性實施例,該複數排氣管道170係經由一管道或路徑連接至真空管線160,該管道或路徑係圍繞及/或位於支座模組140上的基板190之邊緣下方。根據一示例性實施例,例如,該一或更多傳導性組件200可具有三(3)量級之最小到最大的傳導性範圍。
圖2繪示根據一示例性實施例之具有複數傳導性控制組件200的化學沉積設備100之示意圖。如圖2所示,化學沉積設備100包含化學隔離腔室或殼體110、沉積腔室120、噴淋頭模組130、移動支座模組140、該支座模組140可相對於噴淋頭模組130被升高和降低以升高或降低設置於其上表面上的基板或晶圓(未繪示)、以及複數傳導性控制組件200。該複數導控組件200可對稱地圍繞該噴淋頭模組130的外邊緣設置且係流體連接至沉積腔室120的一或更多真空管線160。根據一示例性實施例,該複數傳導性控制組件200可用以提供一化學沉積設備100,該化學沉積設備於沉積期間具有處理材料氣體的低傳導性且在反應器化學品之抽空或清除期間具有高傳導性。
根據一示例性實施例,該噴淋頭模組130可包含具有複數通孔或進氣口138和一外排之同心排氣口174的面板136、背板139、和頂板145。用以分配處理氣體之包含一具有同心排氣口174的面板136之噴淋頭130的示例性實施例可在共同受讓的美國專利第5614026號中找到,其係併入於此作為參考。根據一示例性實施例,排氣通道 440(圖5)連接排氣口174至位於背板139及/或在背板139上的頂板145(未繪示)中之排氣管道426。根據一示例性實施例,各排氣通道440可包含一傳導性控制組件200,(每個通道一球、每通道一清除氣體之噴射、一沿氣體通道之可變區域)。
例如,若模組具有兩個真空管線160和兩個排氣管道170,可能會有在面板136的若干排氣口174與各排氣管道170流體連接。例如,用於設備100之複數傳導性控制組件200之數量可為2至10、更佳地4至8之間、且最佳地為6個,其中該複數傳導性控制組件的200之每一者係流體連接至面板136內之二或更多排氣口174,例如,用於該複數傳導性控制組件200之每一者的2至20個排氣口174。在另一實施例中,該複數傳導性控制組件200之每一者可流體連接至噴淋頭模組130的面板136內的單一排氣口174,例如,噴淋頭模組可包含10至120個傳導性控制組件200,且更佳地為20〜80個傳導性控制組件200。
圖3繪示根據一示例性實施例,為球閥組件300的形式之傳導性控制組件的局部視圖。如圖3所示,球閥組件300包含一具有與空腔150流體連接的管線320之殼體310、一在其下部部分具有一圓錐形部分332以及在其上部部分具有一圓筒形殼體334的殼體330。圓錐形部分332在其下部部分具有一與管道320流體連接​​的排氣口或開口340。根據一示例性實施例,殼體330的上部或排氣口360係與一或更多真空管線160流體連接​​。
根據一示例性實施例,圓筒狀球或球形體350係位於圓筒狀殼體330內,且係用以放置在殼體330的圓錐形部分332 內。球或球形體350之每一者根據其重量及/或尺寸,係用以在空腔150內等於或低於第一壓力和流率及當超過第一壓力和流率時,阻擋排氣口或開口340,該一或更多球或球形體350將開始浮起或向上升高,提供一開口於該球或球形體350之下表面和該排氣口或開口340之間,以使反應器化學品及/或清除氣體從空腔150流動至一或更多真空管線160中。例如,在用劑步驟或處理中,壓力和流率的反應器化學品的及/或清除氣體的空腔內150可是足夠的低,以致該球形體350不移動或上升向上使反應器化學品品可被限制內的空腔150。然而,在清除步驟期間,在空腔150內的壓力結合流入空腔150之清除氣體的流率可超過第一壓力和流率,而使球形體位置。
根據一示例性實施例,用於既定化學沉積設備100的球閥組件300之數量可根據排氣管道170之數量為2和10之間,該等排氣管道可周向地圍繞基板190間隔開並可均勻地間隔開。根據一示例性實施例,該等球閥組件300之每一者可用以流體地連接至一或更多排氣管道(未繪示),該等排氣管道係用以在清除處理期間從空腔150抽空反應器化學品。
球形體或球350之重量可被設計為模擬在清除氣體流率的閥致動。根據一示例性實施例,複數圓筒形球或球形體350之每一者的係由抗腐蝕材料製成,如氧化鋁(Al2 O3 ),例如,藍寶石球形體或球。根據一示例性實施例,球閥組件300之每一者係用以僅在清除空腔150之期間向上抬起。根據一示例性實施例,在化學反應物氣體的沉積處理期間,球形體或球350維持在殼體330的圓錐形部分332內,且根據球形體或球350的重量及/或尺寸,該等球形體或球阻擋排氣口或開口340,防止反應物氣體釋放或流動至真空系統的前段線。根據一示例性實施例,該球閥組件300之管道320的進氣口322係儘可能位於接近空腔150處。
根據一示例性實施例,對於設備100而言,該球閥組件300之數目可為2至10,更佳地為4至8之間,且最佳地為6。該複數球閥組件300之每一者係流體連接至二或更多排氣口174,例如2〜20個排氣口174。在一示例性實施例中,該複數球閥組件300之每一者可流體連接至一噴淋頭模組130的面板136內的單一排排氣口174,且可為10〜120個球閥組件300,且更佳地為20至80個球閥組件300。
根據一示例性實施例,該複數傳導性控制組件300可為以重力為基礎的機械式單向閥,例如,加載彈簧的球閥,其在空腔150內達到預定的壓力後打開。
圖4繪示具有為流體閥400(圖5)之形式的傳導性控制組件的化學沉積設備100之橫剖面示意圖。流體閥400使用來自一系列擾流排氣口之調節氣體流,該流動之尺寸和位置係設定俾使該調節流產生一噴射或流,其與流出空腔150之流動相互作用而產生局部壓降。例如,隨著該腔室的流率在一特定的點增加,對於一既定的調節流,該調節流噴射係被分布且轉變點出現,其後調節流的存在不會造成在空腔150之出口的整體壓降。因此,在轉變點以下,流體閥400可被用以作為流動限制裝置,其可使空腔150內之壓力快速上升。此外,空腔150可在不改變調節流動速率之情況下有效地清除,此使在處理中或用劑步驟期間,可在不犧牲在下一步驟之清除能力的情況下,使壓力更快上升。
根據一示例性實施例,流體閥400可位於頂板145內,頂板145具有清除氣體管道和管件延伸至背板139和頂板145之間的排氣管道170中,調節氣體的噴射流係相對於流出在背板139中之排氣通道的氣體流。根據一示例性實施例,為廢氣形式的反應器化學品192從在面板136中之排氣口174流動經過在面板136和背板139之間的充氣室(凹陷部分)450,且接著從背板139中之排氣通道426流出,於背板處反應器化學品之流動係被來自調節氣體供應器402的調節氣體之相對的噴射流所阻礙。
如圖4所示,化學沉積設備100包含化學隔離腔室或殼體110、沉積腔室120、噴淋頭模組130、移動支座模組140可相對於該噴淋頭模組130被升高或降低以升高和降低設置在支座模組140的上表面上之基板或晶圓(未繪示),以及複數流體閥400。此外,調節氣體或清除氣體供應管線402係連接至該等流體閥400之每一者的上部。
根據一示例性實施例,流體閥400係結合至沉積腔室120的氣體或壓力控制系統。流體閥400能夠以最小的額外氣體用量和至空腔150的最小添加容積,進行在沉積腔室120之排氣口的局部傳導性控制。根據一示例性實施例,此可透過使用一來自一系列分佈的流體閥400之調節氣流達成。流體閥400的排氣口416之尺寸和位置可設定俾使調節流動產生一與來自空腔150內之流動產生相互作用的噴射流,從而產生局部壓降及/或傳導性變化。
圖5繪示根據一示例性實施例的流體閥400之圖。如圖5所示,流體閥400包含調節氣體部分410和腔室流出部分420。調節氣體部分410經由一或更多管道或氣體供應管線404流體連接至一調節氣體供應器402。調節氣體供應器402供應一較佳地為惰性氣體的形式之調節氣體406,例如,氮氣(N2 )或氬氣(Ar)。
根據一示例性實施例,調節氣體部分410具有經由一或更多管道或氣體供應管線404接收調節氣體的調節進氣口412、內空腔413、至少一進氣口414和至少一排氣口416。根據一示例性實施例,該至少一進氣口414和該至少一排氣口416為形成管道418的流體閥400之調節氣體部分410內的圓柱形孔。根據一示例性實施例,該至少一排氣口416具有比該至少一進氣口412更小的直徑,其著重於調節氣體406並形成調節氣體406之噴射或流動,該噴射或流動係與來自於該晶圓或腔室空腔150之反應器氣體流408流體連接。調節氣體406和反應物氣體流408係於空腔或排氣管道170中結合,空腔或排氣管道170係與該一或更多真空氣體管線160流體連接。
根據一示例性實施例,該腔室流出部分420係流體連接至空腔150且包含一或更多接收來自空腔150之反應器氣體的流動管道426。根據一示例性實施例,該一或更多流動管道426之每一者具有進氣口424和排氣口422。流動管道426的進氣口424和排氣口422係較佳地直徑相等。根據一示例性實施例,該一或更多流動管道426的進氣口424係流體連接至該噴淋頭模組130之凹陷部分450。凹陷部分450係流體連接至一或更多管道440,且其中該一或更多管道440之每一者具有流體連接至空腔150的一進氣​​口442和一排氣口444。
根據一示例性實施例,調節氣體406之噴射或流動阻擋來自空腔150之反應器氣體的流動408,此增加了電阻和從空腔150逸出或從該處釋放之反應器氣體流動408。根據一示例性實施例,當反應器氣體流動408增加,在某一點時,調節氣體406係被推開(例如,噴射流受增加的流動所擾動)且反應器氣體流動408遭遇較小阻力。根據一示例性實施例,可有效地調節轉變點,此係透過相較於腔室或反應器氣體流動408、排氣口416的尺寸、及/或調節調節氣體部分410的排氣口416以及流動管道(或反應器排氣口平面)426 之排氣口422之間的距離,調整或改變調節氣體406的流率而為之。
根據一示例性實施例,調節氣體406和腔室或反應器氣體流動408之流率可被控制,此係藉由調整調節氣體406的流率,結合調整在調節部分410上之對應的進氣口414、424和排氣口416、422的尺寸或直徑,以及流體閥400的腔室部分420為之。此外,流體閥400之性能可根據該一或更多真空管線160的前段線壓力加以控制或變化 ,例如,前段線壓力較低則限制性能較佳。
根據一示例性實施例,流體閥400提供減少用於處理,例如ALD,之化學或處理氣體使用的能力,並可增加可處理之基板190的數量。此外,具有如本文中所揭露之調節氣體406的流體閥400之設備100,可減少為用劑步驟之增加空腔150的壓力所需之時間。例如,由於用劑時間減少,因此產量(例如,一既定的時段內所處理之晶圓或基板的數目)可提高。
根據一示例性實施例,該複數流體閥400係經由一或更多排氣管道170,對稱地圍繞噴淋頭模組130的外邊緣設置且係流體連接至空腔150和一或更多真空管線160 。根據一示例性實施例,流體閥400之數量等於噴淋頭模組130的凹陷部分450內之排氣口或管道440的數量。例如,在一示例性實施例中,該複數流體閥400可為10〜120個流體閥400,且更佳地為20〜80個流體閥400。根據一示例性實施例,對於設備100,其中該複數流體閥400之每一者係流體連接至再兩個排氣管道440,流體閥400之數目可為例如,2和10之間。
圖6繪示根據一示例性實施例,具有一旋轉或方位角閥500的化學沉積設備100之橫剖面圖,該旋轉或方位角閥具有磁力聯結器。如圖6所示,化學沉積設備100包含化學隔離腔室或殼體110,該化學隔離腔室或殼體具有一空腔150、一噴淋頭模組130、移動支座模組(未繪示),該支座模組140可相對於噴淋頭模組130被升高和降低以升高或降低設置於其上表面上的基板或晶圓(未繪示)、以及一旋轉或方位角閥500。
圖7繪示根據一示例性實施例之如圖7所示的化學沉積設備100之旋轉閥500的一部分之橫剖面圖。如圖7所示,旋轉閥500包含具有至少一旋轉軸承512(例如X-型薄段軸承)之一上部旋轉或方位角板或環510(或在周圍大氣之旋轉板),以及具有至少一旋轉軸承530之下部旋轉或方位角板或環520(或在真空中之旋轉板)。下部旋轉板或環520包含複數管道或通道540,其係用以經由對應的排氣管道174接收來自空腔150之反應器化學品。該複數通道540之每一者具有一進氣口542於一下表面及一排氣口544於其上表面。排氣口544係與內腔或者充氣室550流體連接,內腔或者充氣室550係經由排氣管道170與該一或更多真空氣體管線160(未繪示)流體連接。根據一示例性實施例,旋轉閥500的上部旋轉板或環510可由一撓性件驅動,或可替代地,該上部旋轉板或環510可被結合至一具有結合之撓性件或軸承元件之由皮帶輪驅動的板件。
根據一示例性實施例,該複數排氣管道175之每一者亦包含一與空腔150流體連接的進氣口176和一與下板520的進氣口542流體連接的排氣口178。根據一示例性實施例,排氣口178係圍繞空腔150同心地設置並且周向地間隔開,且徑向延伸的排氣通道180將空腔150連接至排氣管道175。排氣通道180從空腔150的外邊緣沿徑向向外延伸至該複數排氣管道175。
根據一示例性實施例,該複數排氣管道175可與在下板或環510中的複數通道540對齊,俾使當下板或環510旋轉時,該複數排氣管道175之排氣口178與該下板或環510的進氣口的542改變旋轉或方位角板500之傳導性。根據一示例性實施例,下板520係作為局部傳導性控制組件或閥。從一步驟或處理到下一步驟或處理之在該複數排氣管175,(例如反應器排氣口)之傳導性,係由旋轉板510、520之特徵部所控制,例如,構成反應器排氣口之通孔或管道174與該等特徵部對準(與否)之程度,或與在下部旋轉或方位角板520之反應器排氣口部分上之複數通道540。
根據一示例性實施例,該複數排氣管道175及/或複數通道540可為圓形孔、橢圓形、或其它尺寸的小孔。根據一示例性實施例,該複數排氣管道175​​和通道540之數目可介於大約60和120之間,且最佳地為約90。此外,透過改變該複數排氣管道175和複數通道540​​的尺寸和形狀,旋轉閥500的傳導性可依期望要進行調整。例如,透過降低管道和孔174、540的數目、管道和孔174、540的尺寸,及/或管道和孔174、540的橫剖面形狀,可降低最小的傳導性。
在使用時,當上板510以順時針或逆時針方向轉動時,下板520發生對應之轉動。下板520的旋轉導致排氣管道175的排氣口178之相對位置相對於在下部板540內的複數通道540之進氣口542變化。根據一示例性實施例,排氣管道175的排氣口178對於通道540的進氣口542的相對位置控制來自空腔150的反應器化學品192之流動或傳導性。如果排氣口178和進氣口542係彼此對齊,可能發生最大流動。可替代地,如果排氣口178和進氣口542僅部分對齊,可能發生減少之流動。
根據一示例性實施例,上部和下部板510、520可包含一大氣側和真空側的X型軸承(例如,Kaydon ®軸承)並磁性地聯結該大氣側至一線性傳輸式馬達或語音線圈致動器(未繪示)。根據一示例性實施例,例如,接面管道或孔174、540的兩到三度之旋轉運動可為設備100將傳導性從最小變化到最大流量。另外,旋轉板510、520的系統可根據需要設計,以提供具有若干功能的傳導性控制組件200,該等功能包含理想的開閉速度及頻率回應,此可提高設備100的產量。旋轉板的形狀和質量 (慣性動量)510、520亦可被調整尺寸以裝配至化學隔離腔室110內。
根據一示例性實施例,該等上部和下部板510、520之每一者具有複數磁體(未繪示),該等磁體係平均地圍繞上部和下部板510、520分布。根據一示例性實施例,當上板510旋轉時,該複數磁體使下板520產生對應的轉動。根據一示例性實施例,在真空中旋轉之板520可磁性聯接至在大氣側上旋轉的板510,其可由一旋轉裝置或眾所周知的方法,例如滑輪、馬達、皮帶傳動設備來驅動。
根據一示例性實施例,上部和下部板510、520可彼此磁性聯接,且上部板510可透過螺釘類型的運動來驅動,例如,由一旋轉裝置或眾所周知的方法,例如滑輪、馬達、皮帶傳動設備來驅動,其對於快速改變傳導性十分有用。
圖8繪示根據一示例性實施例,化學沉積設備100之沉積腔室120和空腔150的剖面圖,該化學沉積設備具有處於打開位置(左閥600A)和閉合位置(右閥600B)之線性磁力聯結閥600A、600B。如圖9所示,線性磁力聯結閥600A、600B包含具有複數直桿620的線性板或環6​​10,該等直桿係用以被磁性地升高及下降於複數排氣通道624內,該等排氣通道係用以排氣通道係用以作為閥,以在處於打開位置時釋放來自空腔150之反應器化學品以及在處於閉合位置時防止反應器化學品從空腔150逸出。
根據一示例性實施例,該複數排氣通道624係流體連接至複數排氣通道180(圖7),該等通道係同心地圍繞空腔150設置。排氣通道180從空腔150的外邊緣沿徑向向外延伸至該複數排氣通道624。根據一示例性實施例,該等直桿620之每一者的上部部分622係磁力聯接至一磁性殼體630。當磁性殼體630啓動時,係用以透過磁性聯結提高該複數直桿620,其作為閥門將空腔150內之反應物氣體經由排氣管道650釋放至內腔640中。設備100亦包含一排氣管道170,排氣管道170係與空腔150和內腔640流體連接。
圖9繪示根據一示例性實施例,具有在閉合位置的線性閥600B之化學沉積設備100的空腔150之剖面圖。如圖9所示,該複數直桿620之每一者具有近端622和遠端624。各該複數直桿620亦包含一近端部分626,近端部分係用以磁性連接至磁性殼體630,其升高及降低直桿620於磁性殼體630內。直桿620之每一者的遠端624係作為用在沉積腔室120及/或空腔150內的反應器化學品之扼流圈或閥。根據一示例性實施例,升高或舉高直桿620之每一者的遠端624時,反應器化學品及/或清除氣體係通過排氣通道180從沉積腔室120及/或空腔150釋放至內腔640。
本文亦揭露於一處理設備中處理半導體基板方法。該方法包含將來自反應器化學品源之反應器化學品供應至沉積腔室中,以及處理在電漿處理腔室中的半導體基板。該方法較佳地包含對基板進行電漿處理,其中係使用射頻產生器施加射頻能量,該射頻產生器產生電漿於沉積腔室中。
當用語「約」連同一數值用於本說明書中時,吾人欲使該相關聯的數值包含所述數值之±10%左右的公差。
此外,當用語「大致」、 「比較」,和「實質上」連同幾何形狀使用時,吾人欲使該幾何形狀不需精確,但該形狀之緯度係落於本發明之範圍內。當與幾何術語使用時,用語「大致」、 「比較」,和「實質上」意在不僅包含符合嚴格定義的特徵,且亦包含相當接近嚴格的定義之特徵。
雖然已參照具體的實施例,對包含等溫沉積腔室之電漿處理設備進行了詳細描述,對於熟習本領域技術者將顯而易見地,在不脫離隨附請求項之範圍的情況下,可作出各種變化和修改並採用均等物。
100‧‧‧化學沉積設備
110‧‧‧殼體
112‧‧‧氣體管線
120‧‧‧沉積腔室
130‧‧‧噴淋頭模組
131‧‧‧外側部分
132‧‧‧下表面
133‧‧‧環
135‧‧‧台階
136‧‧‧面板
137‧‧‧外週
138‧‧‧通孔
139‧‧‧背板
140‧‧‧移動式支座模組
142‧‧‧上表面
143‧‧‧螺絲
145‧‧‧頂板
150‧‧‧空腔
160‧‧‧真空管線
170‧‧‧排氣管道
174‧‧‧排氣口
175‧‧‧排氣管道
176‧‧‧進氣口
178‧‧‧排氣口
180‧‧‧排氣通道
190‧‧‧基板
192‧‧‧反應器化學品
200‧‧‧傳導性控制組件
300‧‧‧球閥組件
310‧‧‧殼體
320‧‧‧管線
322‧‧‧進氣口
330‧‧‧殼體
332‧‧‧圓錐形部分
334‧‧‧殼體
340‧‧‧排氣口
350‧‧‧圓筒形球或球形體
360‧‧‧排氣口
400‧‧‧流體閥
402‧‧‧調節氣體供應器
404‧‧‧氣體供應管線
406‧‧‧調節氣體
408‧‧‧反應物氣體流
410‧‧‧調節氣體部分
412‧‧‧調節進氣口
413‧‧‧內空腔
414‧‧‧進氣口
416‧‧‧排氣口
418‧‧‧管道
420‧‧‧腔室流出部分
422‧‧‧排氣口
424‧‧‧進氣口
426‧‧‧排氣管道/流動管道
440‧‧‧排氣通道
442‧‧‧進氣口
444‧‧‧排氣口
450‧‧‧充氣室
500‧‧‧閥
510‧‧‧上部旋轉或方位角板或環
512‧‧‧旋轉軸承
520‧‧‧下部旋轉或方位角板或環
530‧‧‧旋轉軸承
540‧‧‧管道或通道
542‧‧‧進氣口
544‧‧‧排氣口
550‧‧‧充氣室
600‧‧‧閥
600A、B‧‧‧線性磁力聯結閥
600A‧‧‧左閥
600B‧‧‧右閥
610‧‧‧板或環
620‧‧‧直桿
622‧‧‧上部部分
624‧‧‧排氣通道
626‧‧‧近端部分
630‧‧‧磁性殼體
640‧‧‧內腔
650‧‧‧排氣管道
圖1A繪示根據一示例性實施例之具有支座的化學沉積設備之示意圖。
圖1B繪示根據一示例性實施例之不具有支座的化學沉積設備之示意圖。
圖2繪示根據一示例性實施例之具有複數傳導性控制組件的設備之示意圖。
圖3繪示根據一示例性實施例之球閥組件的局部視圖。
圖4繪示根據一示例性實施例的流體閥之剖面的示意圖。
圖5繪示根據一示例性實施例的流體閥之視圖。
圖6繪示根據一示例性實施例之具有旋轉軸承的化學沉積設備之空腔的剖面圖,該旋轉軸承具備磁力連結器。
圖7繪示根據一示例性實施例之圖6的空腔之一部分的剖面圖。
圖8繪示根據一示例性實施例之化學沉積設備的空腔之剖面圖,該設備具有一處於開啓位置之以線性磁力聯結閥。
圖9繪示根據一示例性實施例之化學沉積設備的空腔之剖面圖,該設備具有一處於關閉位置之以線性磁力聯結閥。

Claims (7)

  1. 一種化學沉積設備,包含: 一化學隔離腔室; 一沉積腔室,形成於該化學隔離腔室中; 一噴淋頭模組,具有一面板及一背板,該面板包含複數進氣口及複數排氣口,該等進氣口將複數反應器化學品傳送至一空腔中,該等排氣口位於該等進氣口之徑向外部,該等排氣口經由從該空腔之外邊緣徑向延伸之複數排氣通道而自該空腔移除該等反應器化學品;及 至少一傳導性(conductance)控制組件,該傳導性控制組件係經由該等排氣口與該空腔流體連接;以及一排氣設備,該排氣設備透過一或更多排氣真空管線而流體連接至該至少一傳導性控制組件,該至少一傳導性控制組件包含一磁力聯結線性閥,該磁力聯結線性閥包含: 一磁性殼體;及 複數直桿,該等直桿係用以於複數通道內磁性地上升及降低,該等通道與該一或更多排氣真空管線流體連接,該複數直桿之每一者具有一近端部分及一遠端部,該近端部分用以磁性連接至該磁性殼體並將該等直桿於該磁性殼體內升高及降低,該遠端部作為一閥以將該等反應器化學品及/或清除氣體從該等排氣口釋放。
  2. 如申請專利範圍第1項之化學沉積設備,包含:一清除氣體源,該清除氣體係供應至該空腔以清除具有該等反應器化學品之該空腔。
  3. 如申請專利範圍第1項之化學沉積設備,包含:一基板支座模組,用以支撐一基板,該基板支座模組垂直地移動以關閉在該基板支座模組與該面板之一外部部分之間之該空腔,其中該至少一傳導性控制組件係為圍繞該基板支座模組而均勻地以周向間隔開之複數傳導性控制組件。
  4. 如申請專利範圍第3項之化學沉積設備,其中該複數傳導性控制組件之每一者用以流體連接至二或更多排氣口。
  5. 如申請專利範圍第1項之化學沉積設備,包含: 一半導體基板;及 其中化學汽相沉積、電漿增強化學汽相沉積、原子層沉積、電漿增強原子層沉積、脈衝沉積層、及/或電漿增強脈衝沉積層其中至少一者係於該半導體基板上進行。
  6. 如申請專利範圍第1項之化學沉積設備,其中該等排氣口為同心排氣口。
  7. 如申請專利範圍第1項之化學沉積設備,其中該至少一傳導性控制組件具有一最小到最大傳導性範圍,該最小到最大傳導性範圍具有三個數量級。
TW107138535A 2013-07-03 2014-07-02 具有傳導性控制之化學沉積設備 TWI676708B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/934,594 US9490149B2 (en) 2013-07-03 2013-07-03 Chemical deposition apparatus having conductance control
US13/934,594 2013-07-03

Publications (2)

Publication Number Publication Date
TW201907051A TW201907051A (zh) 2019-02-16
TWI676708B true TWI676708B (zh) 2019-11-11

Family

ID=52133091

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107138535A TWI676708B (zh) 2013-07-03 2014-07-02 具有傳導性控制之化學沉積設備
TW103122900A TWI650444B (zh) 2013-07-03 2014-07-02 具有傳導性控制之化學沉積設備

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW103122900A TWI650444B (zh) 2013-07-03 2014-07-02 具有傳導性控制之化學沉積設備

Country Status (6)

Country Link
US (2) US9490149B2 (zh)
JP (2) JP6335688B2 (zh)
KR (3) KR102224889B1 (zh)
CN (3) CN104278253B (zh)
SG (2) SG10201403692RA (zh)
TW (2) TWI676708B (zh)

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI725067B (zh) * 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6756853B2 (ja) 2016-06-03 2020-09-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2019054189A (ja) 2017-09-19 2019-04-04 東芝メモリ株式会社 成膜装置および成膜方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR101994700B1 (ko) 2017-09-28 2019-07-01 주식회사 유진테크 샤워헤드 및 기판처리장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) * 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11110425B2 (en) 2018-07-27 2021-09-07 Applied Materials, Inc. Gas distribution plate for thermal deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021195595A (ja) * 2020-06-15 2021-12-27 東京エレクトロン株式会社 シャワープレート及び成膜装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023544116A (ja) * 2020-09-25 2023-10-20 ラム リサーチ コーポレーション 高温プロセスのための軸方向に冷却される金属シャワーヘッド
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020050581A1 (en) * 1998-05-20 2002-05-02 Applied Materials, Inc. Isolation valves
US20060226388A1 (en) * 2005-04-12 2006-10-12 Tsutomu Hiroki Gate valve apparatus for vacuum processing system
US20110174380A1 (en) * 2010-01-15 2011-07-21 Ckd Corporation Vacuum control system and vacuum control method

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2422040A (en) * 1945-01-27 1947-06-10 Gen Electric Magnetic drive
US2481172A (en) * 1948-05-17 1949-09-06 Jesse D Staggs Magnetically driven fluidhandling device
US2669668A (en) * 1949-02-05 1954-02-16 Hermag Pumps Ltd Magnetically driven centrifugal pump
US3055391A (en) * 1959-06-16 1962-09-25 Jenkins Bros Valve
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS63228716A (ja) * 1987-03-18 1988-09-22 Fujitsu Ltd 気相成長装置
US4790258A (en) * 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
EP0325200B1 (en) * 1988-01-18 1994-04-13 Hitachi, Ltd. Rotary valve
US4825897A (en) * 1988-05-19 1989-05-02 Shade Stephen A Flow control valve
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5361053A (en) * 1993-10-07 1994-11-01 Unisia Jecs Corporation Super magnetostriction type actuator
JPH07263351A (ja) * 1994-03-22 1995-10-13 Hitachi Ltd 気相成長装置
US5488969A (en) * 1994-11-04 1996-02-06 Gas Research Institute Metering valve
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
DE19601541A1 (de) * 1995-01-27 1996-08-01 Seiko Seiki Kk In einer Vakuumumgebung einsetzbares Vertikaltransfersystem sowie dazugehöriges Absperrventilsystem
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5701043A (en) * 1996-09-09 1997-12-23 Razzaghi; Mahmoud High resolution actuator
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
ATE274162T1 (de) * 1998-10-08 2004-09-15 Camcon Magnetantrieb
US20010047756A1 (en) * 1999-05-17 2001-12-06 Bartholomew Lawrence Duane Gas distribution system
US6572924B1 (en) * 1999-11-18 2003-06-03 Asm America, Inc. Exhaust system for vapor deposition reactor and method of using the same
JP2001279450A (ja) * 2000-03-31 2001-10-10 Hitachi Kokusai Electric Inc 基板処理装置
US6531069B1 (en) * 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4335469B2 (ja) * 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
KR100528561B1 (ko) * 2003-04-21 2005-11-16 엘지전자 주식회사 전자기력 구동 유량 제어 밸브 및 그의 제조방법과 이를이용한 열 교환 장치
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US7262536B2 (en) * 2003-08-11 2007-08-28 General Motors Corporation Gearless wheel motor drive system
SI21714A (en) * 2004-02-23 2005-08-31 Inst Jozef Stefan Procedure and device for measuring ultrahigh vacuum
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US8844461B2 (en) * 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods
US7171981B2 (en) * 2004-07-02 2007-02-06 Watersav Enterprises, Llc Flow control device and system
US7227440B2 (en) * 2005-03-03 2007-06-05 Pratt & Whitney Canada Corp. Electromagnetic actuator
TWI312012B (en) * 2005-07-13 2009-07-11 Applied Materials Inc Improved magnetron sputtering system for large-area substrates having removable anodes
US20070095283A1 (en) * 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080191155A1 (en) * 2007-02-09 2008-08-14 Intevac, Inc. Magnetically coupled valve actuator
JP5135856B2 (ja) * 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US8287647B2 (en) 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
CN101680561B (zh) * 2007-05-31 2011-12-21 东京毅力科创株式会社 流体控制装置
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
GB0723827D0 (en) * 2007-12-06 2008-01-16 Kohler Mira Ltd Flow control valve
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP2011174540A (ja) * 2010-02-24 2011-09-08 Tokyo Electron Ltd 真空排気用のボールバルブ及び真空排気装置
US20110256724A1 (en) 2010-04-15 2011-10-20 Novellus Systems, Inc. Gas and liquid injection methods and apparatus
CN102242349A (zh) * 2010-05-14 2011-11-16 亚树科技股份有限公司 可拆卸式进出气结构及其导电膜成膜装置
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
EP2733126A4 (en) * 2011-07-12 2015-03-25 Asahi Glass Co Ltd METHOD FOR MANUFACTURING GLASS SUBSTRATE WITH LAYERED FILM
US8613267B1 (en) * 2011-07-19 2013-12-24 Lightsail Energy, Inc. Valve
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9212422B2 (en) * 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US20130153054A1 (en) * 2011-12-19 2013-06-20 Intermolecular, Inc. Combinatorial Processing Tool
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
CN105749992A (zh) * 2012-08-08 2016-07-13 史密斯探测-沃特福特有限公司 入口闭合组件
KR101308044B1 (ko) * 2013-02-18 2013-10-22 주식회사 월드자석카플링 자석식 커플링장치
US20140241848A1 (en) * 2013-02-25 2014-08-28 Varian Semiconductor Equipment Associates, Inc. Electric switchable magnet slitvalve
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10217614B2 (en) * 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020050581A1 (en) * 1998-05-20 2002-05-02 Applied Materials, Inc. Isolation valves
US20060226388A1 (en) * 2005-04-12 2006-10-12 Tsutomu Hiroki Gate valve apparatus for vacuum processing system
US20110174380A1 (en) * 2010-01-15 2011-07-21 Ckd Corporation Vacuum control system and vacuum control method

Also Published As

Publication number Publication date
US20170009348A1 (en) 2017-01-12
US20150011095A1 (en) 2015-01-08
KR20220018530A (ko) 2022-02-15
SG10201403692RA (en) 2015-02-27
CN113186519B (zh) 2023-06-20
JP2015015469A (ja) 2015-01-22
JP6335688B2 (ja) 2018-05-30
KR20210029176A (ko) 2021-03-15
KR102358027B1 (ko) 2022-02-08
US9490149B2 (en) 2016-11-08
CN104278253A (zh) 2015-01-14
JP2018152577A (ja) 2018-09-27
KR102224889B1 (ko) 2021-03-08
KR20150004771A (ko) 2015-01-13
TW201907051A (zh) 2019-02-16
KR102490167B1 (ko) 2023-01-18
SG10201802646PA (en) 2018-05-30
TW201512451A (zh) 2015-04-01
CN113186519A (zh) 2021-07-30
CN110158061B (zh) 2021-06-18
JP6574020B2 (ja) 2019-09-11
CN104278253B (zh) 2019-05-31
TWI650444B (zh) 2019-02-11
CN110158061A (zh) 2019-08-23

Similar Documents

Publication Publication Date Title
TWI676708B (zh) 具有傳導性控制之化學沉積設備
CN104250728B (zh) 具有气封的化学沉积腔室
CN107365976B (zh) 用于注射器至基板的空隙控制的装置及方法
TW202105650A (zh) 基板處理裝置
TWI640365B (zh) 用於暫態非均勻性之級聯設計噴淋頭
TWI405266B (zh) Heat treatment apparatus, heater and manufacturing method thereof
TWI727024B (zh) 微體積沉積腔室
TWI444554B (zh) 具有增加的流動均勻度之狹縫閥
CN104278254A (zh) 多充气室的双温喷头
TW202234518A (zh) 一種用於處理腔室的改進側注射噴嘴設計
TW201303973A (zh) 汽相沉積系統用之處理氣體擴散器組件
TWI769366B (zh) 處理烘箱中擴展電漿用的電漿擴展裝置和系統及方法
JP7229061B2 (ja) 基板のエッチング装置及びエッチング方法
KR20230088467A (ko) 열적 균일 증착 스테이션
TW201837225A (zh) 具有氣封之化學沉積腔室
WO2022203982A1 (en) Conductive cooling of a low temperature pedestal operating in a high temperature deposition sequence
WO2023239607A1 (en) Throttle valve and foreline cleaning using a microwave source
JP5094288B2 (ja) プラズマ処理装置