KR102224889B1 - 컨덕턴스 제어를 갖는 화학적 증착 장치 - Google Patents

컨덕턴스 제어를 갖는 화학적 증착 장치 Download PDF

Info

Publication number
KR102224889B1
KR102224889B1 KR1020140083338A KR20140083338A KR102224889B1 KR 102224889 B1 KR102224889 B1 KR 102224889B1 KR 1020140083338 A KR1020140083338 A KR 1020140083338A KR 20140083338 A KR20140083338 A KR 20140083338A KR 102224889 B1 KR102224889 B1 KR 102224889B1
Authority
KR
South Korea
Prior art keywords
cavity
outlets
valve
gas
outlet
Prior art date
Application number
KR1020140083338A
Other languages
English (en)
Other versions
KR20150004771A (ko
Inventor
라메쉬 찬드라세카란
칼 리저
춘광 시아
제레미 터커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150004771A publication Critical patent/KR20150004771A/ko
Priority to KR1020210027240A priority Critical patent/KR102358027B1/ko
Application granted granted Critical
Publication of KR102224889B1 publication Critical patent/KR102224889B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

컨덕턴스 제어를 갖는 화학적 증착 장치는 대면플레이트 (faceplate) 및 백킹플레이트 (backing plate) 를 갖는 샤워헤드 모듈로서, 상기 샤워헤드 모듈은 반응기 화학물질들을 캐비티 (cavity) 로 전달하는 복수의 유입구들 및 반응기 화학물질들을 제거하는 배출구들 (exhaust outlets) 을 포함하는, 상기 샤워헤드 모듈과, 기판을 지지하도록 구성되며 상기 대면플레이트의 외측 부분과 페데스탈 모듈 간의 상기 캐비티를 폐쇄하도록 수직으로 이동하는 페데스탈 모듈과, 상기 배출구들을 통해서 상기 캐비티에 유체적으로 연통하는 적어도 하나의 컨덕턴스 제어 어셈블리 (conductance control assembly) 를 포함한다. 상기 적어도 하나의 컨덕턴스 제어 어셈블리는 볼 밸브 어셈블리 (ball valve assembly), 유체 밸브 (fluidic valve), 자기적으로 커플링된 회전식 플레이트, 및/또는 선형 기반 자기 시스템 중 하나 이상으로부터 선택된다.

Description

컨덕턴스 제어를 갖는 화학적 증착 장치{CHEMICAL DEPOSITION APPARATUS HAVING CONDUCTANCE CONTROL}
본 발명은 화학적 증착을 수행하며 플라즈마 강화된 화학적 증착을 수행하는데 사용되기 위한 장치들 및 프로세스들에 관한 것이다.
에칭 (etching), PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer) 프로세싱, PEPDL (plasma enhanced pulsed deposition layer) 프로세싱, 및 레지스트 제거를 포함하는 기법들에 의해서 반도체 기판을 프로세싱하는데 플라즈마 프로세싱 장치들이 사용될 수 있다. 예를 들어서, 플라즈마 프로세싱 시에 사용되는 일 타입의 플라즈마 프로세싱 장치는 상단 전극 및 하단 전극을 포함하는 반응 또는 증착 챔버를 포함한다. 반응 챔버 내에서 반도체 기판들을 프로세싱하기 위해서 프로세스 가스 또는 반응기 화학물질들을 플라즈마로 여기시키도록 무선 주파수 (RF) 전력이 상단 전극과 하단 전극 간에 인가된다.
화학적 증착 장치가 개시되며, 이 장치는 화학적 격리 챔버 (chemical isolation chamber) ; 상기 화학적 격리 챔버 내에 형성된 증착 챔버; 대면플레이트 (faceplate) 및 백킹플레이트 (backing plate) 를 갖는 샤워헤드 모듈로서, 상기 샤워헤드 모듈은 반응기 화학물질들을 캐비티 (cavity) 로 전달하는 복수의 유입구들 및 반응기 화학물질들을 제거하는 배출구들 (exhaust outlets) 을 포함하는, 상기 샤워헤드 모듈; 및 상기 배출구들을 통해서 상기 캐비티에 유체적으로 연통하는 적어도 하나의 컨덕턴스 제어 어셈블리 (conductance control assembly) 를 포함하며, 상기 적어도 하나의 컨덕턴스 제어 어셈블리는 하나 이상의 배기 진공 라인들에 의해서 배기 장치에 유체적으로 연결되며, 상기 적어도 하나의 컨덕턴스 제어 어셈블리는 볼 밸브 어셈블리 (ball valve assembly), 유체 밸브 (fluidic valve), 회전식 밸브 (rotary valve), 및 자기 커플링된 선형 밸브 (magnetically coupled linear valve) 중 하나 이상으로부터 선택되며, (a) 상기 볼 밸브 어셈블리는, 코니컬 (conical) 하부 부분을 갖는 하우징; 상기 하우징의 상기 코니컬 하부 부분으로부터 상기 캐비티의 상기 배출구들 중 하나 이상으로 연장되는 도관으로서, 상기 도관은 유입구 및 유출구를 갖는, 상기 도관; 및 상기 코니컬 하부 부분 내에 삽입되도록 (fitted) 구성된 구형 바디 (spherical body) 를 포함하며, 상기 구형 바디는 도징 단계 (dosing step) 동안에 상기 도관의 상기 유출구를 막아서 상기 도관을 통한 반응기 화학물질의 흐름을 방지하고, 퍼징 단계 (purging step) 동안에 상기 캐비티 내의 제 1 압력 및 플로우 레이트를 초과하면, 상기 구형 바디의 하부 표면과 상기 도관의 상기 유출구 간이 개방되도록 상향으로 이동함으로써 반응기 화학물질 및 퍼지 가스가 상기 캐비티로부터 하나 이상의 배기 진공 라인들 내로 흐르게 하도록 구성되며; (b) 상기 유체 밸브는 변조 가스 부분 및 챔버 유출 부분을 가지며, 상기 변조 가스 부분은 변조 가스 (modulating gas) 의 스트림을 변조 가스 공급부로부터 상기 캐비티로부터의 반응기 화학물질의 흐름 내로 향하게 하도록 구성되며, 상기 변조 가스의 스트림은 상기 캐비티로부터의 반응기 화학물질의 흐름이 경험하는 흐름 저항을 변화시키며; (c) 상기 회전식 밸브는, 상부 회전 플레이트; 및 상기 상부 회전 플레이트에 자기적으로 커플링된 하부 회전 플레이트를 포함하며, 상기 하부 회전 플레이트는 복수의 도관들을 가지며, 상기 복수의 도관들 각각은 상기 배출구들 중 하나 이상과 유체적으로 연통하는, 상기 샤워헤드 모듈 내의 대응하는 배기 도관으로부터 상기 캐비티로부터의 반응기 화학물질들을 수용하도록 구성되며; 및/또는 (d) 상기 자기적으로 커플링된 선형 밸브는, 자기 하우징 (magnetic housing); 및 복수의 채널 내에서 자기적으로 상승 및 하강하도록 구성된 복수의 선형 로드들 (rods) 을 포함하며, 상기 복수의 선형 로드들 (rods) 각각은 상기 자기 하우징과 자기적으로 커플링하여서 상기 자기 하우징 내에서 상기 복수의 선형 로드들을 상승 및 하강시키는 근위 부분 (proximal portion), 및 상기 반응기 화학물질들 및/또는 퍼지 가스를 상기 배출구들로부터 배출시키는 밸브로서 작용하는 원위 단부 (distal end) 를 갖는다.
화학적 증착 장치의 캐비티 내의 컨덕턴스를 제어하는 방법이 개시되며, 이 방법은 상기 화학적 증착 장치의 상기 캐비티 내에서 기판을 프로세싱하는 단계로서, 상기 캐비티는 샤워헤드 모듈과 상기 기판을 수용하도록 구성된 기판 페데스탈 모듈 간에서 형성되며, 상기 샤워헤드 모듈은 반응기 화학물질들을 상기 캐비티 (cavity) 로 전달하는 복수의 유입구들 및 상기 캐비티로부터 반응기 화학물질들 및 퍼지 가스들을 제거하는 배출구들 (exhaust outlets) 을 포함하는, 상기 기판을 프로세싱하는 단계; 상기 캐비티 내로 퍼지 가스를 주입하는 단계; 및 상기 배출구들을 통해서 상기 캐비티에 유체적으로 연통하는 적어도 하나의 컨덕턴스 제어 어셈블리 (conductance control assembly) 를 사용하여서 상기 캐비티의 컨덕턴스 변화를 제어하는 단계를 포함하며, 상기 적어도 하나의 컨덕턴스 제어 어셈블리는 볼 밸브 어셈블리 (ball valve assembly), 유체 밸브 (fluidic valve), 회전식 밸브 (rotary valve), 및 자기 커플링된 선형 밸브 (magnetically coupled linear valve) 중 하나 이상으로부터 선택되며, (a) 상기 볼 밸브 어셈블리는, 코니컬 (conical) 하부 부분을 갖는 하우징; 상기 하우징의 상기 코니컬 하부 부분으로부터 상기 캐비티의 상기 배출구들 중 하나 이상으로 연장되는 도관으로서, 상기 도관은 유입구 및 유출구를 갖는, 상기 도관; 및 상기 코니컬 하부 부분 내에 삽입되도록 (fitted) 구성된 구형 바디 (spherical body) 를 포함하며, 상기 구형 바디는 도징 단계 (dosing step) 동안에 상기 도관의 상기 유출구를 막아서 상기 도관을 통한 반응기 화학물질의 흐름을 방지하고, 퍼징 단계 (purging step) 동안에 상기 캐비티 내의 제 1 압력 및 플로우 레이트를 초과하면, 상기 구형 바디의 하부 표면과 상기 도관의 상기 유출구 간이 개방되도록 상향으로 이동함으로써 반응기 화학물질 및 퍼지 가스가 상기 캐비티로부터 하나 이상의 배기 진공 라인들 내로 흐르게 하도록 구성되며; (b) 상기 유체 밸브는 변조 가스 부분 및 챔버 유출 부분을 가지며, 상기 변조 가스 부분은 변조 가스 (modulating gas) 의 스트림을 변조 가스 공급부로부터 상기 캐비티로부터의 반응기 화학물질의 흐름 내로 향하게 하도록 구성되며, 상기 변조 가스의 스트림은 상기 캐비티로부터의 반응기 화학물질의 흐름이 경험하는 흐름 저항을 변화시키며; (c) 상기 회전식 밸브는, 상부 회전 플레이트; 및 상기 상부 회전 플레이트에 자기적으로 커플링된 하부 회전 플레이트를 포함하며, 상기 하부 회전 플레이트는 복수의 도관들을 가지며, 상기 복수의 도관들 각각은 상기 배출구들 중 하나 이상과 유체적으로 연통하는, 상기 샤워헤드 모듈 내의 대응하는 배기 도관으로부터 상기 캐비티로부터의 반응기 화학물질들을 수용하도록 구성되며; 및/또는 (d) 상기 자기적으로 커플링된 선형 밸브는, 자기 하우징 (magnetic housing); 및 복수의 채널 내에서 자기적으로 상승 및 하강하도록 구성된 복수의 선형 로드들 (rods) 을 포함하며, 상기 복수의 선형 로드들 (rods) 각각은 상기 자기 하우징과 자기적으로 커플링하여서 상기 자기 하우징 내에서 상기 복수의 선형 로드들을 상승 및 하강시키는 근위 부분 (proximal portion), 및 상기 반응기 화학물질들 및/또는 퍼지 가스를 상기 배출구들로부터 배출시키는 밸브로서 작용하는 원위 단부 (distal end) 를 갖는다.
도 1a는 예시적인 실시예에 따른 페데스탈 (pedestal) 을 갖는 화학적 증착 장치를 도시하는 개략도이다.
도 1b는 예시적인 실시예에 따른 페데스탈 (pedestal) 을 가지지 않는 화학적 증착 장치를 도시하는 개략도이다.
도 2는 예시적인 실시예에 따른 복수의 컨덕턴스 제어 (conductance control) 어셈블리들을 갖는 장치의 개략도이다.
도 3은 예시적인 실시예에 따른 볼 밸브 어셈블리 (ball valve assembly) 의 부분적 도면이다.
도 4는 예시적인 실시예에 따른 유체 밸브 (fluidic valve) 의 단면 개략도이다.
도 5는 예시적인 실시예에 따른 유체 밸브의 도면이다.
도 6은 예시적인 실시예에 따른 자기 커플링 (magnetic coupling) 을 갖는 회전식 베어링 (rotary bearing) 을 갖는 화학적 증착 장치의 캐비티 (cavity) 의 단면도이다.
도 7은 예시적인 실시예에 따른 도 6의 캐비티의 일부의 단면도이다.
도 8은 예시적인 실시예에 따른, 개방 위치에 있는 선형 자기 커플링 기반 밸브를 갖는 화학적 증착 장치의 캐비티 (cavity) 의 단면도이다.
도 9는 예시적인 실시예에 따른, 폐쇄 위치에 있는 선형 자기 커플링 기반 밸브를 갖는 화학적 증착 장치의 캐비티 (cavity) 의 단면도이다.
다음의 상세한 개시에서, 예시적인 실시예들이 본 명세서에서 개시된 장치 및 방법들의 이해를 제공하기 위해서 제시된다. 그러나, 이러한 예시적인 실시예들은 이러한 특정 세부사항들 없이도 또는 다른 요소들 또는 프로세스들을 사용하여서 실시될 수 있음이 본 기술 분야의 당업자에게 자명하다. 다른 실례들에서, 잘 알려진 프로세스들, 절차들 및/또는 컴포넌트들은 본 명세서에서 개시된 실시예들의 측면들을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 기술되지 않았다.
예시적인 실시예에 따라서, 본 명세서에서 개시된 장치들 및 이와 연관된 방법들은 플라즈마 강화된 화학적 증착과 같은 화학적 증착을 수행하는데 사용될 수 있다. 이 장치 및 방법들은 다중-단계 증착 프로세스 (예를 들어서, ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer) 프로세싱, 또는 PEPDL (plasma enhanced pulsed deposition layer) 프로세싱) 에서 자기-한정 (self-limiting) 증착 단계들의 분리를 요구하는 반도체 제조 기반 유전체 증착 프로세스와 함께 사용될 수 있지만, 이 장치 및 방법들은 이로만 한정되지 않는다.
설명한 바와 같이, 본 실시예들은 플라즈마 강화형 화학 기상 증착과 같은 화학적 증착을 수행하기 위한 장치 및 이와 관련된 방법들을 제공한다. 이 장치 및 방법들은 다중-단계 증착 프로세스 (예를 들어서, ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer) 프로세싱, 또는 PEPDL (plasma enhanced pulsed deposition layer) 프로세싱) 에서 자기-한정 (self-limiting) 증착 단계들의 분리를 요구하는 반도체 제조 기반 유전체 증착 프로세스와 함께 사용되도록 특히 적용가능하지만, 이 장치 및 방법들은 이로만 한정되지 않는다.
전술한 프로세스들은 증착되는 재료가 증착되는 웨이퍼 또는 기판에 걸친 불균일한 온도와 연관된 몇몇 단점들을 경험할 수 있다. 예를 들어서, 불균일한 온도는 주변 챔버 컴포넌트들 (components) 와 열적으로 접촉하는 수동으로 가열된 샤워헤드가 이 주변 챔버 컴포넌트로 열을 손실할 때에 기판에 걸쳐서 발달할 수 있다. 따라서, 프로세싱 존 (processing zone) 의 상부 벽을 형성하는 샤워헤드는 바람직하게는 등온 프로세싱 존이 형성되어서 기판에 걸쳐서 균일한 온도를 형성할 수 있도록 이러한 주변 챔버 컴포넌트들로부터 열적으로 분리된다. 기판에 걸친 균일한 온도는 기판의 균일한 프로세싱을 지원하며, 기판 온도가 증착 프로세스를 위한 활성화 에너지를 제공하며, 이로써 증착 반응을 구현하기 위한 제어 수단이다.
또한, 일반적으로 2 개의 주요 타입의 증착 샤워헤드들, 즉 샹들리에 타입 (chandelier type) 및 플러시 마운트 (flush mount) 타입이 있다. 샹들리에 타입 샤워헤드들은 그 일단이 챔버의 상단에 부착되고 타단이 대면플레이트 (faceplate) 에 부착된 스템부를 가지며 이로써 샹들리에와 유사하다. 이 스템부의 일부는 가스 라인들과 RF 전력의 접속을 가능하게 하도록 챔버 상단으로부터 돌출된다. 플러시 마운트 타입 샤워헤드들은 챔버의 상단 내부에 통합되며 스템부를 가지지 않는다. 본 실시예들은 플러시 마운트 타입 샤워헤드에 관한 것이며, 플러시 마운트 타입 샤워헤드는 챔버 공간 (chamber volume) 을 줄이며, 챔버 공간은 프로세싱 동안에 진공 소스에 의해서 배기되어야 한다.
도 1a 및 도 1b는 본 명세서에서 개시된 실시예들에 따른 화학적 증착 장치 (100) 를 도시하는 개략도들이다. 도 1a 및 도 1b에서 도시된 바와 같이, 화학적 증착 장치는 화학적 격리 챔버 또는 하우징 (110), 증착 챔버 (120), 샤워헤드 모듈 (130) 및 이동형 페데스탈 모듈 (140) 을 포함하며, 이동형 페데스탈 모듈은 페데스탈 모듈 (140) 의 상부 표면 상의 기판 (또는 웨이퍼) (190) 위치를 상승 및 하강시키도록 샤워헤드 모듈 (130) 에 대해서 수직으로 상승 또는 하강될 수 있다. 샤워헤드 모듈 (130) 도 또한 수직으로 상승 및 하강될 수 있다. 반응 물질 가스 (미도시) 가 가스 라인들 (112) 을 통해서 서브-챔버 (112) 내로 도입된다. 가스 라인들 각각 (112) 은 격리 밸브들을 사용하여서 장치 (100) 로부터 격리될 수 있는 대응하는 축적기 (accumulator) 를 가질 수 있다. 예시적인 실시예에 따라서, 장치 (100) 는 사용되는 반응 가스들의 수에 따라서 격리 밸브들 및 축적기들을 갖는 하나 이상의 가스 라인들 (112) 을 갖도록 수정될 수 있다. 또한, 반응 가스 전달 라인들 (112) 은 복수의 화학적 증착 장치들 또는 다중-스테이션 시스템 간에서 공유될 수 있다.
예시적인 실시예에 따라서, 챔버 (120) 는 진공 소스 (미도시) 에 연통된 하나 이상의 진공 라인들 (160) 을 통해서 배기될 수 있다. 예를 들어서, 진공 소스는 진공 펌프 (미도시) 일 수 있다. 다중-스테이션 반응기들에서, 예를 들어서, 동일한 증착 프로세스를 수행하는 다수의 스테이션들 또는 장치들 (100) 을 갖는 다중-스테이션 반응기들에서, 다른 스테이션으로부터의 진공 라인 (160) 은 진공 라인 (160) 과 공통 포어라인 (foreline) (예를 들어서, 진공 펌프들 간의 진공 라인) 을 공유할 수 있다. 또한, 장치 (100) 는 스테이션 또는 장치 (100) 마다 하나 이상의 진공 라인들 (160) 을 갖도록 수정될 수 있다.
예시적인 실시예에 따라서, 복수의 배기 도관들 (evacuation conduits) (170) 이 샤워헤드 모듈 (130) 의 대면플레이트 (136) 내의 하나 이상의 배출구들 (174) 과 유체로 연통하도록 구성될 수 있다. 배출구들 (174) 은 증착 프로세스들 간에서 캐비티 (150) 로부터 프로세스 가스들 또는 반응기 화학물질들 (192) 을 제거하도록 구성될 수 있다. 복수의 배기 도관들 (170) 은 또한 하나 이상의 진공 라인들 (160) 과 유체로 연통한다. 복수의 배기 도관들 (170) 은 기판 (190) 주변의 원주 방향으로 이격되며 균일하게 이격될 수 있다. 몇몇 실례들에서, 복수의 배기 도관들 (170) 의 이격은 진공 라인들 (160) 의 위치들을 보상하도록 설계될 수 있다. 일반적으로 복수의 배기 도관들 (170) 보다 더 적은 개수의 진공 라인들 (160) 이 존재하기 때문에, 진공 라인 (160) 에 가장 가까운 도관 (170) 을 통한 흐름은 더 멀리 떨어진 도관을 통한 흐름보다 높을 수 있다. 균일한 흐름 패턴을 보장하기 위해서, 도관들 (170) 은 이들이 진공 라인들 (160) 로부터 멀리 떨어져 있으면 서로 더 가깝게 이격될 수 있다. 가변 흐름 전달기 (variable flow conductor) 를 포함하는 복수의 도관들 (170) 을 포함하는 화학적 증착 장치 (100) 의 예시적인 실시예는 공동으로 양도된 미국 특허 7,993,457에서 찾을 수 있으며, 이 문헌은 그 전체가 본 명세서에서 참조로서 인용된다.
본 명세서에서 개시된 실시예들은 바람직하게는 플라즈마 강화된 화학 기상 증착 장치 (예를 들어서, PECVD 장치, PEALD 장치 또는 PEPDL 장치) 에서 구현된다. 이러한 장치는 상이한 형태를 취할 수 있는데, 이 장치는 하나 이상의 기판들을 하우징하고 기판 프로세싱에 적합한, (상술한 바와 같이 다수의 스테이션들 또는 증착 챔버들을 포함하는) 하나 이상의 챔버들 또는 "반응기들" 을 포함할 수 있다. 각 챔버는 프로세싱을 위해서 하나 이상의 기판들을 하우징할 수 있다. 하나 이상의 챔버들은 구획된 위치 또는 위치들에서 (이러한 위치에서 예를 들어서, 회전, 진동 또는 다른 요동과 같은 움직임을 가지면서 또는 가지지 않으면서) 기판 을 유지한다. 일 실시예에서, 증착 및 처리를 받고 있는 기판 (190) 은 프로세스 동안에 장치 내에서 일 스테이션 (예를 들어서, 증착 챔버) 으로부터 다른 스테이션으로 전달될 수 있다. 프로세스 동안에, 각 기판 (190) 은 페데스탈 모듈 (140), 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해서 제자리에서 유지된다. 예를 들어서, 기판 (190) 이 가열될 특정 동작들을 위해서, 장치 (100) 는 가열 플레이트와 같은 가열기를 포함할 수 있다.
페데스탈 모듈 (140) 은 페데스탈 모듈 (140) 의 상부 표면으로부터 기판 또는 웨이퍼를 수용하고/하거나 분리시키도록 하강된다. 하위 위치에서, 기판은 페데스탈 모듈 (140) 의 표면 상에 배치되고, 이어서 샤워헤드 모듈 (130) 을 향해서 수직으로 상향 상승된다. 예시적인 실시예에 따라서, 페데스탈 모듈 (140) 의 상부 표면 (142) 과 샤워헤드 모듈 (130) 의 하부 표면 (132) 간의 이격 거리는 캐비티 (150) 를 형성하며 이는 약 0.2 인치 (5 밀리미터) 내지 약 0.6 인치 (15.25 밀리미터) 일 수 있다.
예시적인 실시예에 따라서, 샤워헤드 모듈 (130) 은 반응기 화학물질들을 캐비티 (또는 반응기 챔버) (150) 에 전달하도록 구성된다. 샤워헤드 모듈 (130) 은 복수의 유입구들 또는 관통구멍들 (138) 을 갖는 대면플레이트 (136) 및 백킹플레이트 (backing plate) (139) 를 포함할 수 있다. 예시적인 실시예에 따라서, 대면플레이트 (136) 는 복수의 유입구들 또는 관통구멍들 (138) 및 대면플레이트 (136) 의 외연 (137) 을 둘러서 연장되는 단차부 (135) 를 갖는 단일 플레이트일 수 있다. 이와 달리, 단차부 (135) 는 대면플레이트 (136) 의 외측 부분 (131) 의 하부 표면에 부착된 개별 링 (133) 일 수 있다. 예를 들어서, 단차부 (135) 는 스크루 (143) 를 사용하여서 대면플레이트 (136) 의 외측 부분 (131) 의 하부 표면에 부착될 수 있다. 동심 배출구들 (174) 을 갖는 대면플레이트 (136) 를 포함하는, 프로세스 가스들의 분배를 위한 샤워헤드 모듈 (130) 의 예시적인 실시예는 공동으로 양도된 미국 특허 번호 5,614,026에서 찾을 수 있으며, 이 문헌은 그 전체가 본 명세서에서 참조로서 인용된다. 예를 들어서, 예시적인 실시예에 따라서, 배출구들 (174) 은 복수의 유입구들 (138) 을 둘러싼다.
예시적인 실시예에 따라서, 챔버 (120) 내측의 온도는 샤워헤드 모듈 (130) 및/또는 페데스탈 모듈 (140) 내의 가열 메카니즘을 통해서 유지될 수 있다. 예를 들어서, 기판 (190) 은 샤워헤드 모듈 (130) 및/또는 페데스탈 모듈 (140) 이 목표된 온도로 기판 (190) 을 유지하도록 구성되는 등온 분위기 내에서 위치한다. 예시적인 실시예에 따라서, 샤워헤드 모듈 (130) 은 250 ℃ 보다 높은 온도로 가열되고/되거나 페데스탈 모듈 (140) 도 250 ℃ 보다 높은 온도로 가열될 수 있다. 증착 챔버 (120) 는 페데스탈 모듈 (140) 과 함께 동작하는 샤워헤드 모듈 (130) 을 포함하는 용량 결합형 플라즈마 타입 시스템에 의해서 생성되는 플라즈마를 한정하는 역할을 한다.
매칭 네트워크 (미도시) 에 접속된 고주파수 (HF) RF 생성기 및 저주파수 (LF) RF 생성기와 같은 RF 소스(들) 가 샤워헤드 모듈 (130) 에 접속된다. 매칭 네트워크에 의해서 공급되는 전력 및 주파수는 프로세스 가스/증기로부터 플라즈마를 생성하는데 충분하다. 바람직한 실시예에서, HF 생성기 및 LF 생성기 모두가 사용될 수 있다. 통상적인 프로세스에서, HF 생성기는 대체적으로 약 2 내지 100 MHz의 주파수에서 동작하며, 바람직한 실시예에서는 13.56 MHz에서 동작한다. LF 생성기는 대체적으로 약 50 kHz 내지 2 MHz의 주파수에서 동작하며, 바람직한 실시예에서는 350 내지 600 kHz에서 동작한다. 프로세스 파라미터들은 챔버 체적, 기판 크기, 및 다른 인자들에 따라서 크기가 조절될 수 있다. 예를 들어서, HF 생성기 및 LF 생성기의 전력 출력들은 통상적으로 기판의 증착 표면적에 직접적으로 비례한다. 예를 들어서, 300 mm 웨이퍼들에서 사용되는 전력은 대체적으로 200 mm 웨이퍼들에서 사용되는 전력보다 적어도 2.25 높을 것이다. 마찬가지로, 표준 증기 압력과 같은 플로우 레이트들도 진공 챔버 또는 증착 챔버 (120) 의 자유 체적 (free volume) 에 의존할 것이다.
증착 챔버 (120) 내에서, 페데스탈 모듈 (140) 은 재료들이 증착될 수 있는 기판 (190) 을 지지한다. 페데스탈 모듈 (140) 은 통상적으로 증착 및/또는 플라즈마 처리 반응들 동안에 그리고 이들 간에서 기판을 유지 및 전달하는 척, 포크 또는 리프트 핀을 포함한다. 페데스탈 모듈 (140) 은 정전 척, 기계적 척, 또는 본 산업 및/또는 연구에서 사용되도록 입수가능한 다양한 다른 타입의 척을 포함할 수 있다. 페데스탈 모듈 (140) 은 목표된 온도로 기판 (190) 을 가열하기 위해서 가열기 블록에 연결될 수 있다. 일반적으로, 기판 (190) 은 증착될 재료에 따라서 약 25 ℃ 내지 500 ℃ 온도에서 유지된다.
예시적인 실시예에 따라서, 증착 챔버 (120) 는 하나 이상의 컨덕턴스 제어 어셈블리들 (conductance control assembly) (200) 을 포함하며, 이 컨덕턴스 제어 어셈블리들은 프로세스 재료 가스 또는 반응기 화학물질들의 흐름 (예를 들어서, 도징 (dosing) 단계) 및 막이 웨이퍼 또는 기판 (190) 상에서 형성되게 하는 반응 또는 플라즈마 단계 (예를 들어서, 반응 단계) 및 프로세스 재료 가스 또는 반응기 화학물질들의 배출 또는 퍼징 단계 (예를 들어서, 퍼징 (purging) 단계) 동안에 증착 챔버 (120) 내의 압력을 제어 및 조정하도록 구성된다. 예시적인 실시예에 따라서, 챔버 (120) 의 배기 또는 퍼징은 비활성 또는 퍼지 가스를 사용한다. 예를 들어서, 도 2 내지 도 9에 도시된 바와 같이, 컨덕턴스 제어 어셈블리들 (200) 은 본 명세서에서 개시된 바와 같은, 하나 이상의 볼 밸브 어셈블리들 (300) (도 2 및 도 3), 하나 이상의 유체 밸브들 (400) (도 4 및 도 5) 및/또는 하나 이상의 회전식, 방위각상 또는 선형 밸브들 (500, 600) (도 6 내지 도 9) 을 포함할 수 있다. 예시적인 실시예에 따라서, 복수의 배기 도관들 (170) 이 기판 (190) 에 대해 축방향으로 그리고/또는 그 위에 위치할 수 있으며 컨덕턴스 제어 어셈블리들 (200) 과 유체적으로 연결되는 하나 이상의 도관들 및/또는 채널들을 포함할 수 있다. 예시적인 실시예에 따라서, 복수의 배기 도관들 (170) 이 페데스탈 모듈 (140) 상의 기판 (190) 의 에지 주변에서 그리고/또는 아래에서 도관 또는 경로부를 통해서 진공 라인들 (160) 에 연결된다. 예시적인 실시예에 따라서, 예를 들어서, 하나 이상의 컨덕턴스 제어 어셈블리들 (200) 은 10의 세(3)제곱만큼의 최소 컨덕턴스 내지 최대 컨덕턴스 범위를 가질 수 있다.
도 2는 예시적인 실시예에 따른 복수의 컨덕턴스 제어 (conductance control) 어셈블리들 (200) 을 갖는 화학적 증착 장치 (100) 의 개략도이다. 도 2에 도시된 바와 같이, 화학적 증착 장치 (100) 는 화학적 격리 챔버 또는 하우징 (110), 증착 챔버 (120), 샤워헤드 모듈 (130), 이동형 페데스탈 모듈 (140), 및 복수의 컨덕턴스 제어 (conductance control) 어셈블리들 (200) 을 포함하며, 이동형 페데스탈 모듈은 페데스탈 모듈 (140) 의 상부 표면 상의 기판 또는 웨이퍼 (미도시) 위치를 상승 및 하강시키도록 샤워헤드 모듈 (130) 에 대해서 상승 또는 하강될 수 있다. 복수의 컨덕턴스 제어 (conductance control) 어셈블리들 (200) 은 샤워헤드 모듈 (130) 외측 에지에 걸쳐서 대칭적으로 배치되고 증착 챔버 (120) 로 그리고 하나 이상의 진공 라인들 (160) 로 유체적으로 연결된다. 예시적인 실시예에 따라서, 복수의 컨덕턴스 제어 어셈블리들 (200) 은 증착 동안에는 프로세스 재료 가스의 저 컨덕턴스을 가지고 반응기 화학물질들의 배기 또는 퍼징 동안에는 고 컨덕턴스을 갖는 화학적 증착 장치 (100) 를 제공하도록 구성될 수 있다.
예시적인 실시예에 따라서, 샤워헤드 모듈 (130) 은 복수의 관통구들 또는 유입구들 (138) 및 외측 열 (outer row) 의 동심 배출구들 (174) 을 갖는 대면플레이트 (136), 백킹플레이트 (139) 및 상단 플레이트 (145) 를 포함할 수 있다. 동심 배출구들 (174) 을 갖는 대면플레이트 (136) 를 포함하는, 프로세스 가스들의 분배를 위한 샤워헤드 모듈 (130) 의 예시적인 실시예는 공동으로 양도된 미국 특허 번호 5,614,026에서 찾을 수 있으며, 이 문헌은 그 전체가 본 명세서에서 참조로서 인용된다. 예시적인 실시예에 따라서, 배기 통로 (440) (도 5) 가 배출구들 (174) 을 백킹플레이트 (139) 내의 배기 도관 (426) 및/또는 이 백킹플레이트 (139) 위의 상단 플레이트 (145) 내의 배기 도관 (미도시) 으로 연결시킨다. 예시적인 실시예에 따라서, 각 배기 가스 통로 (440) 는 컨덕턴스 제어 어셈블리 (200) 를 포함할 수 있다 (하나의 통로마다 하나의 볼 (ball) 이 존재하거나, 하나의 통로마다 퍼지 가스의 일 분사 (jet) 가 존재하거나, 가스 통로를 따라서 하나의 가변 존 (variable zone) 이 존재함).
예를 들어서, 이 모듈이 2 개의 진공 연통부 (160) 및 2 개의 배기 도관들 (170) 을 갖는다면, 각 배기 도관 (170) 과 유체적으로 연통하는 다수의 배출구들 (174) 이 대면플레이트 (136) 내에 존재할 수 있다. 예를 들어서, 복수의 컨덕턴스 제어 어셈블리들 (200) 은 장치 (100) 에서 2 개 내지 10 개가 존재하거나 보다 바람직하게는 4 개 내지 8 개가 존재하거나 가장 바람직하게는 6 개가 존재하며, 복수의 컨덕턴스 제어 어셈블리들 (200) 각각은 대면플레이트 (136) 내의 2 개 이상의 배출구들 (174) 과 유체적으로 연결되며, 예를 들어서 복수의 컨덕턴스 제어 어셈블리들 (200) 각각에 대해서 2 개 내지 20 개의 배출구들 (174) 이 유체적으로 연결된다. 다른 실시예에서, 복수의 컨덕턴스 제어 어셈블리들 (200) 각각은 샤워헤드 모듈 (130) 의 대면플레이트 (136) 내의 단일 배출구 (174) 와 유체적으로 연결되며, 예를 들어서 샤워헤드 모듈 (130) 은 10 개 내지 120 개의 컨덕턴스 제어 어셈블리들 (200) 을 포함하며, 보다 바람직하게는 20 개 내지 80 개의 컨덕턴스 제어 어셈블리들 (200) 을 포함한다.
도 3은 예시적인 실시예에 따른 볼 밸브 어셈블리 (ball valve assembly) (300) 의 형태로 된 컨덕턴스 제어 어셈블리의 부분적 도면이다. 도 3에 도시된 바와 같이, 볼 밸브 어셈블리 (ball valve assembly) (300) 는 캐비티 (150) 와 유체적으로 연통하는 도관 (320) 을 갖는 하우징 (310), 그의 하부 부분에서의 코니컬 (conical) 부분 (332) 을 갖는 하우징 (330) 및 그의 상부 부분에서의 원통형 하우징 (334) 을 포함한다. 코니컬 부분 (332) 은 그의 하부 부분에서 유출구 또는 개구 (340) 를 가지며, 이 개구는 도관 (320) 과 유체적으로 연통한다. 예시적인 실시예에 따라서, 하우징 (330) 의 상부 부분 또는 유출구 (360) 는 하나 이상의 진공 라인들 (160) 과 유체적으로 연통한다.
예시적인 실시예에 따라서, 원통형 볼 또는 구형 바디 (350) 가 원통형 하우징 (330) 내에 위치하며 하우징 (330) 의 코니컬 부분 (332) 내에 안치되도록 구성된다. 볼들 또는 구형 바디들 (350) 각각은 그들의 중량 및/또는 크기에 기초하여서, 캐비티 (150) 내에서의 제 1 압력 및 플로우 레이트 이하에서는 유출구 또는 개구 (340) 를 막도록 구성되고, 이 제 1 압력 및 플로우 레이트를 초과하면, 볼들 또는 구형 바디들 (350) 중 하나 이상이 위로 상승하거나 위로 플로팅 (floating) 하기 시작하여서 볼 또는 구형 바디 (350) 의 하부 표면과 유출구 또는 개구 (340) 간이 개방되어서 반응기 화학물질 및/또는 퍼지 가스가 캐비티 (150) 로부터 하나 이상의 진공 라인들 (160) 로 흐르게 된다. 예를 들어서, 도징 단계 또는 프로세스 동안에, 캐비티 (150) 내의 반응기 화학물질 및/또는 퍼지 가스의 압력 및 플로우 레이트는 구형 바디들 (350) 이 위로 이동하거나 상승하지 않아서 반응기 화학물질들이 캐비티 (150) 내에서 한정될 수 있도록 충분하게 낮을 수 있다. 그러나, 퍼징 단계 동안에, 캐비티 (150) 내로의 퍼지 가스의 플로우 레이트와 함께 캐비티 (150) 내의 압력은 제 1 압력 및 플로우 레이트를 초과하여서 구형 바디들을 이동시킬 수 있다.
예시적인 실시예에 따라서, 소정의 화학적 증착 장치 (100) 에 대한 볼 밸브 어셈블리들 (300) 의 개수는 기판 (190) 주변에서 원주 방향으로 이격되어 있으며 균일하게 이격되어 있을 수 있는 배출구들 (170) 의 개수에 기초하여서 2 개 내지 10 개일 수 있다. 예시적인 실시예에 따라서, 볼 밸브 어셈블리들 (300) 각각은 퍼징 프로세스 동안에 캐비티 (150) 로부터 반응기 화학물질들을 배기하도록 구성되는 하나 이상의 배기 도관들 (미도시) 에 유체적으로 연통되도록 구성될 수 있다.
구형 바디들 또는 볼들 (350) 의 중량은 퍼지 가스 플로우 레이트에서의 밸브 작동을 모방하도록 (mimic) 설계될 수 있다. 예시적인 실시예에 따라서, 복수의 원통형 볼들 또는 구형 바디들 (350) 각각은 알루미늄 산화물 (Al2O3) 와 같은 침식 내성 재료로 구성되며, 예를 들어서 사파이어 구형 바디 또는 볼일 수 있다. 예시적인 실시예에 따라서, 볼 밸브 어셈블리들 (300) 각각은 캐비티 (150) 를 퍼징하는 동안에만 위로 상승되도록 구성된다. 예시적인 실시예에 따라서, 화학적 반응 가스들의 증착 동안에, 구형 바디들 또는 볼들 (350) 은 하우징 (330) 의 코니컬 부분 (332) 내에서 남아 있으며, 구형 바디들 또는 볼들 (350) 의 중량 및/또는 크기에 기초하여서, 유출구 또는 개구 (340) 를 막아서 반응 가스들의 진공 시스템의 포어라인들 내로의 흐름 또는 방출을 방지한다. 예시적인 실시예에 따라서, 볼 밸브 어셈블리들 (300) 의 도관 (320) 의 유입구 (322) 는 캐비티 (150) 에 가능한한 가깝게 위치한다.
예시적인 실시예에 따라서, 볼 밸브 어셈블리들 (300) 의 개수는 장치 (100) 에 대해서 2 개 내지 10 개, 보다 바람직하게는 4 개 내지 8 개, 가장 바람직하게는 6 개일 수 있다. 복수의 볼 밸브 어셈블리들 (300) 각각은 2 개 이상의 배출구들 (174) 에, 예를 들어서 2 개 내지 20 개의 배출구들 (174) 에 유체적으로 연결된다. 예시적인 실시예에서, 복수의 볼 밸브 어셈블리들 (300) 각각은 샤워헤드 모듈 (130) 의 대면플레이트 (136) 내의 단일 배출구 (174) 에 유체적으로 연결되고 10 개 내지 120 개의 볼 밸브 어셈블리들 (300) 일 수 있으며, 보다 바람직하게는 20 개 내지 80 개의 볼 밸브 어셈블리들 (300) 일 수 있다.
예시적인 실시예에 따라서, 복수의 컨덕턴스 제어 어셈블리들 (300) 은 캐비티 (150) 내의 사전결정된 압력에 도달하면 개방되는 예를 들어서 스프링 부하형 볼 밸브와 같은 중력 기반 기계적 체크 밸브일 수 있다.
도 4는 예시적인 실시예에 따른 유체 밸브 (fluidic valve) (400) (도 5) 형태의 컨덕턴스 제어 어셈블리를 갖는 화학적 증착 장치 (100) 의 단면 개략도이다. 유체 밸브 (400) 는 일련의 교란된 (disturbed) 흐름 유출구들로부터의 변조 가스 흐름 (modulating gas flow) 을 사용하며, 상기 일련의 교란된 흐름 유출구들은 변조 가스 흐름이 캐비티 (150) 를 나가는 가스 흐름과 상호작용하여서 국소적 압력 강하를 생성하는 분사 또는 스트림을 생성하도록 하는 크기 및 위치를 갖는다. 예를 들어서, 소정의 변조 가스 흐름에 있어서, 챔버 플로우 레이트가 특정 시점에서 증가할 때에, 변조 가스 흐름 분사가 전달되고, 천이 시점이 발생하고, 이 시점 이후에 변조 가스 흐름의 존재는 캐비티 (150) 의 가스 배출부 (exit) 에서의 전체적인 압력 강하에 기여하지 않는다. 따라서, 이 천이 시점 이후부터는, 유체 밸브 (400) 는 흐름 제한 디바이스 역할을 하며, 캐비티 (150) 내의 압력이 급속하게 증가하게 한다. 또한, 캐비티 (150) 는 변조 플로우 레이트를 변화시키지 않고서 효율적으로 퍼지될 수 있으며, 이는 다음 단계에서의 퍼지 능력을 희생시키지 않으면서 프로세싱 또는 도징 단계 동안에 신속한 압력 상승을 구현한다.
예시적인 실시예에 따라서, 유체 밸브 (400) 는 백킹 플레이트 (139) 와 상단 플레이트 (145) 간의 배기 도관 (170) 내로 연장되는 퍼지 가스 도관들 및 튜브들을 갖는 상단 플레이트 (145) 내에 위치하며 이러한 도관들 및 튜브가 백킹 플레이트 (139) 내의 배기 통로들을 나가는 가스 흐름과 반대되는 변조 가스의 분사를 한다. 예시적인 실시예에 따라서, 반응기 화학물질들 (192) 은 배기 가스의 형태로 대면플레이트 (136) 내의 배출구 (174) 로부터 대면플레이트 (136) 와 백킹 플레이트 (139) 간의 배관 (450) 을 통해서 백킹 플레이트 (139) 내의 배기 통로 (426) 를 나가며 이 배기 통로 지점에서 그의 흐름은 변조 가스 공급부 (402) 로부터의 변조 가스의 반대되는 분사 흐름 (jet flow) 에 의해서 교란된다.
도 4에 도시된 바와 같이, 화학적 증착 장치 (100) 는 화학적 격리 챔버 또는 하우징 (110), 증착 챔버 (120), 샤워헤드 모듈 (130), 이동형 페데스탈 모듈 (140), 및 복수의 유체 밸브들 (400) 을 포함하며, 이동형 페데스탈 모듈은 페데스탈 모듈 (140) 의 상부 표면 상의 기판 또는 웨이퍼 (미도시) 위치를 상승 및 하강시키도록 샤워헤드 모듈 (130) 에 대해서 상승 또는 하강될 수 있다. 또한, 변조 가스 또는 퍼지 가스 공급 라인 (402) 이 유체 밸브들 (400) 각각의 상부 부분에 연결된다.
예시적인 실시예에 따라서, 유체 밸브 (400) 는 증착 챔버 (120) 의 가스 또는 압력 제어 시스템 내에 통합된다. 유체 밸브 (400) 는 최소 추가 가스 사용 및 캐비티 (150) 로의 최소 부가된 공간으로 해서 증착 챔버 (120) 의 배출부에서 국소적으로 컨덕턴스 제어를 가능하게 한다. 예시적인 실시예에 따라서, 이는 일련의 분포된 유체 밸브들 (400) 로부터의 변조 가스의 사용에 의해서 달성될 수 있다. 유체 밸브들 (400) 의 유출구들 (416) 은 변조 가스가 캐비티 (150) 내로부터 나오는 가스 흐름과 상호작용하여서 국소적 압력 강하 및/또는 컨덕턴스 변화를 생성하는 분사를 생성하도록 하는 크기 및 배치를 갖는다.
도 5는 예시적인 실시예에 따른 유체 밸브 (400) 의 도면이다. 도 5에 도시된 바와 같이, 유체 밸브 (400) 는 변조 가스 부분 (410) 및 챔버 유출 (outflow) 부분 (420) 을 포함한다. 변조 가스 부분 (410) 은 하나 이상의 도관들 또는 가스 공급 라인들 (404) 을 통해서 변조 가스 공급부 (402) 에 유체적으로 연결된다. 변조 가스 공급부 (402) 는 바람직하게는 비활성 가스의 형태의 변조 가스 (406), 예를 들어서 질소 또는 아르곤 가스를 공급한다.
예시적인 실시예에 따라서, 변조 가스 부분 (410) 은 하나 이상의 도관들 또는 가스 공급 라인들 (404) 을 통해서 변조 가스를 수용하는 변조 가스 유입구 (412), 내측 캐비티 (413), 적어도 하나의 유입구 (414) 및 적어도 하나의 유출구 (416) 를 갖는다. 예시적인 실시예에 따라서, 적어도 하나의 유입구 (414) 및 적어도 하나의 유출구 (416) 는 도관 (418) 을 형성하는, 유체 밸브 (400) 의 변조 가스 부분 (410) 내의 원통형 구멍들이다. 예시적인 실시예에 따라서, 적어도 하나의 유출구 (416) 는 변조 가스 유입구 (412) 보다 작은 직경을 가지며, 변조 가스 (406) 를 집중시키며 웨이퍼 또는 챔버 캐비티 (150) 로부터의 반응기 가스 흐름 (408) 과 유체적으로 연통하는 변조 가스 (406) 의 분사 또는 스트림을 형성한다. 변조 가스 (406) 와 반응기 가스 흐름 (408) 은 하나 이상의 진공 가스 라인들 (160) 과 유체적으로 연통하는 캐비티 또는 배기 도관 (170) 내에서 결합된다.
예시적인 실시예에 따라서, 챔버 유출 (outflow) 부분 (420) 은 캐비티 (150) 와 유체적으로 연결되며 캐비티 (150) 로부터 반응기 가스를 수용하는 하나 이상의 흐름 도관들 (426) 을 포함한다. 예시적인 실시예에 따라서, 하나 이상의 흐름 도관들 (426) 각각은 유입구 (424) 및 유출구 (422) 를 갖는다. 흐름 도관들 (426) 의 유입구 (424) 및 유출구 (422) 는 바람직하게는 직경이 동일하다. 예시적인 실시예에 따라서, 하나 이상의 흐름 도관들 (426) 의 유입구 (424) 는 샤워헤드 모듈 (130) 의 리세스 부분 (recess portion) (450) 과 유체적으로 연결된다. 리세스 부분 (450) 은 하나 이상의 도관들 (440) 과 유체적으로 연결되며, 하나 이상의 도관들 (440) 각각은 캐비티 (440) 와 유체적으로 연통하는 유입구 (442) 및 유출구 (444) 를 갖는다.
예시적인 실시예에 따라서, 변조 가스 (406) 의 분사 또는 스트림은 캐비티 (150) 로부터의 반응기 가스 흐름 (408) 을 막으며 따라서 반응기 가스 흐름 (408) 이 캐비티 (150) 로부터 빠져나가거나 배출되는 능력에 대한 저항을 증가시킨다. 예시적인 실시예에 따라서, 반응기 가스 흐름 (408) 이 증가할 때에, 소정의 시점에서, 변조 가스 (406) 가 뒤로 물러나게 되며 (pushed away) (예를 들어서, 이 증가하는 흐름에 의해서 변조 가스 분사가 교란됨), 반응기 가스 흐름 (408) 은 더 작은 저항을 만나게 된다. 예시적인 실시예에 따라서, 챔버 또는 반응기 가스 흐름 (408) 의 플로우 레이트에 대한 변조 가스 (406) 의 플로우 레이트, 유출구 (416) 의 크기, 및/또는 흐름 도관들 (또는 반응기 출구 면) (426) 의 유출구 (422) 와 변조 가스 부분 (410) 의 유출구 (416) 간의 거리를 조절 또는 변화시킴으로써 천이 시점 (transition point) 이 효과적으로 조절될 수 있다.
예시적인 실시예에 따라서, 변조 가스 (406) 의 플로우 레이트와 챔버 또는 반응기 가스 흐름 (408) 의 플로우 레이트는 유체 밸브 (400) 의 변조 가스 부분 (410) 및 챔버 부분 (420) 에서의 해당 유입구들 (414, 424) 및 유출구들 (416, 422) 의 크기 또는 직경과 함께 변조 가스 (406) 의 플로우 레이트를 조절함으로써 제어될 수 있다. 또한, 유체 밸브 (400) 의 성능은 하나 이상의 진공 라인들 (160) 의 포어라인 압력 (foreline pressure) 에 기초하여서 제어 또는 변화될 수 있으며, 예를 들어서 하위 포어라인 압력 (lower foreline pressure) 을 사용하면, 흐름 제한 성능 (restriction performance) 이 더 우수해진다.
예시적인 실시예에 따라서, 유체 밸브 (400) 는 ALD (원자 층 증착) 와 같은 프로세스에서 화학적 또는 프로세싱 가스 사용을 줄이는 능력을 제공하며 또한 처리될 수 있는 기판들 (190) 의 개수를 증가시킬 수 있다. 또한, 본 명세서에서 개시된 바와 같은 변조 가스 (406) 를 갖는 유체 밸브 (400) 를 갖는 장치 (100) 는 도징 단계에서 캐비티 (150) 의 압력을 증가시키는데 필요한 시간을 줄일 수 있다. 예를 들어서, 처리량 (즉, 소정의 시간 프레임 내에서 처리되는 웨이퍼 또는 기판의 개수) 이 도징 시간 감소로 인해서 증가할 수 있다.
예시적인 실시예에 따라서, 복수의 유체 밸브들 (400) 은 샤워헤드 모듈 (130) 의 외측 에지에 걸쳐서 대칭적으로 배치될 수 있으며 캐비티 (150) 에 유체적으로 연결되고 그리고 하나 이상의 배기 도관들 (170) 을 통해서 하나 이상의 진공 라인들 (160) 에 유체적으로 연결된다. 예시적인 실시예에 따라서, 유체 밸브들 (400) 의 개수는 샤워헤드 모듈 (130) 의 리세스 부분 (430) 내의 유출구들 또는 도관들 (440) 의 개수와 동일하다. 예를 들어서, 예시적인 실시예에서, 복수의 유체 밸브들 (400) 은 10 개 내지 120 개의 유체 밸브들 (140) 이며, 보다 바람직하게는 20 개 내지 80 개의 유체 밸브들 (140) 일 수 있다. 예시적인 실시예에 따라서, 복수의 유체 밸브들 (400) 각각이 2 개의 이상의 배기 도관들 (440) 에 유체적으로 연결된 장치 (100) 에서, 복수의 유체 밸브들 (400) 의 개수는 예를 들어서 2 개 내지 10 개일 수 있다.
도 6은 예시적인 실시예에 따른 자기 커플링 (magnetic coupling) 을 갖는 회전식 베어링 (rotary bearing) 또는 방위각상 베어링 (500) 을 갖는 화학적 증착 장치 (100) 의 단면도이다. 도 6에 도시된 바와 같이, 화학적 증착 장치 (100) 는 캐비티 (150) 를 갖는 화학적 격리 챔버 또는 하우징 (110), 샤워헤드 모듈 (130), 이동형 페데스탈 모듈 (미도시), 및 회전식 또는 방위각상 밸브 (500) 를 포함하며, 이동형 페데스탈 모듈은 페데스탈 모듈의 상부 표면 상의 기판 또는 웨이퍼 (미도시) 위치를 상승 및 하강시키도록 샤워헤드 모듈 (130) 에 대해서 상승 또는 하강될 수 있다.
도 7은 예시적인 실시예에 따른, 도 6에 도시된 화학적 증착 장치 (100) 의 회전식 밸브 (500) 의 일부의 단면도이다. 도 7에 도시된 바와 같이, 회전식 밸브 (500) 는 예를 들어서 X 타입 얇은 섹션 베어링 (X-type thin section bearing) 과 같은 적어도 하나의 회전식 베어링 (512) 을 갖는 상부 회전 또는 방위각상 플레이트 또는 링 (510) (또는 대기 상태 회전 플레이트) 및 적어도 하나의 회전식 베어링 (530) 을 갖는 하부 회전 또는 방위각상 플레이트 또는 링 (520) (또는 진공 상태 회전 플레이트) 을 포함한다. 하부 회전 또는 방위각상 플레이트 또는 링 (520) 은 대응하는 배기 도관 (174) 을 통해서 캐비티 (150) 로부터 반응기 화학물질을 수용하도록 구성된 복수의 도관들 또는 통로들 (540) 을 포함한다. 복수의 통로들 (540) 각각은 하부 표면에서 유입구 (542) 를 가지며 상부 표면에서 유출구 (544) 를 갖는다. 유출구 (544) 는 배기 도관들 (170) 을 통해서 하나 이상의 진공 라인들 (160) (도 7에서는 미도시) 과 유체적으로 연통하는 내측 캐비티 또는 배관 (550) 과 유체적으로 연통한다. 예시적인 실시예에 따라서, 회전식 밸브 (500) 의 상부 회전 플레이트 또는 링 (510) 은 만곡부 (flexure) 에 의해서 구동되거나 이와 달리 상부 회전 플레이트 또는 링 (510) 은 일체화된 만곡부 또는 베어링 요소들을 갖는 풀리 구동형 플레이트 (pulley driven plate) 내로 일체화될 수 있다.
예시적인 실시예에 따라서, 복수의 배기 도관들 (175) 각각은 또한 캐비티 (150) 와 유체적으로 연통하는 유입구 (176) 및 하부 플레이트 (520) 의 유입구들 (542) 와 유체적으로 연통하는 유출구 (178) 를 갖는다. 예시적인 실시예에 따라서, 유출구들 (178) 은 캐비티 (150) 주변에서 동심 방식으로 위치하며, 원주 방향으로 서로 이격되며 방사상으로 연장된 배기 통로들 (180) 이 캐비티 (150) 를 배기 도관들 (175) 에 연결시킨다. 배기 통로들 (180) 은 캐비티 (150) 의 외측 에지로부터 방사상 외측으로 복수의 배기 도관들 (175) 로 연장된다.
예시적인 실시예에 따라서, 하부 플레이트 또는 링 (520) 이 회전하면, 복수의 배기 도관들 (175) 의 유출구들 (178) 과 하부 플레이트 또는 링 (520) 의 유입구들 (542) 간의 정렬이 회전식 또는 방위각상 플레이트 (500) 의 컨덕턴스를 변화시키도록, 복수의 배기 도관들 (175) 은 하부 플레이트 또는 링 (520) 내의 복수의 통로들 (540) 과 정렬될 수 있다. 예시적인 실시예에 따라서, 하부 플레이트 (520) 가 국소적 컨덕턴스 제어 어셈블리 또는 밸브로서 작용한다. 일 단계 또는 프로세스에서 다음 단계 또는 프로세스로에서, 복수의 배기 도관들 (175) 에서의, 예를 들어서 반응기 배출부에서의 컨덕턴스는, 회전형 플레이트들 (510, 520) 의 특징부들에 의해서, 예를 들어서, 반응기 배출부를 구성하는 구멍들 또는 도관들 (174) 이 하부 회전 또는 방위각상 플레이트 (520) 의 반응기 배출 부분에서의 복수의 통로들 (540) 또는 상기 특징부들과 정렬되는 (정렬되지 않는) 정도로 제어된다.
예시적인 실시예에 따라서, 복수의 배기 도관들 (175) 및 복수의 통로들 (540) 은 라운드형 구멍들 (round holes), 타원형부 (ovals), 또는 다른 크기를 갖는 개구들일 수 있다. 예시적인 실시예에 따라서, 복수의 배기 도관들 (175) 및 복수의 통로들 (540) 은 약 60 개 내지 120 개이거나 가장 바람직하게는 약 90 개일 수 있다. 또한, 복수의 배기 도관들 (175) 및 복수의 통로들 (540) 의 크기 및 형상을 변화시킴으로써, 회전식 밸브 (500) 의 컨덕턴스가 목표된 바와 같이 조절될 수 있다. 예를 들어서, 최소 컨덕턴스는 도관들 및 구멍들 (174, 540) 의 개수를 감소시키고, 도관들 및 구멍들 (174, 540) 의 크기를 감소시키고/시키거나 도관들 및 구멍들 (174, 150) 의 단면 형상을 감소시킴으로써 저감될 수 있다.
사용 시에, 상부 플레이트 (510) 가 시계 방향 또는 반시계 방향으로 회전하면, 하부 플레이트 (520) 의 대응하는 회전이 발생한다. 하부 플레이트 (520) 의 회전은 하부 플레이트 (540) 내의 복수의 통로들 (540) 의 유입구들 (542) 에 대한 배기 도관들 (175) 의 유출구들 (178) 의 상대적 위치들을 변화시킨다. 예시적인 실시예에 따라서, 하부 플레이트 (540) 내의 복수의 통로들 (540) 의 유입구들 (542) 에 대한 배기 도관들 (175) 의 유출구들 (178) 의 상대적 위치들이 캐비티 (150) 로부터의 반응기 화학물질들 (192) 의 플로우 또는 컨덕턴스를 제어한다. 유출구들 (178) 과 유입구들 (542) 이 서로 정렬되면, 최대 플로우가 발생할 수 있다. 이와 달리, 유출구들 (178) 과 유입구들 (542) 이 오직 부분적으로만 정렬되면, 플로우가 저감될 수 있다.
예시적인 실시예에 따라서, 상부 플레이트 (510) 및 하부 플레이트 (520) 는 대기 측 X 타입 베어링 및 진공 측 X 타입 베어링 (예를 들어서, Kaydon® 베어링) 을 포함하며 대기 측 베어링이 선형 전달 유형 모터 또는 보이스 코일 액추에이터 (미도시) 에 자기적으로 커플링된다. 예시적인 실시예에 따라서, 예를 들어서, 서로 대면하는 도관들 또는 구멍들 (174,540) 의 2 내지 3 도 회전 운동은 장치 (100) 의 컨덕턴스를 최소 흐름에서 최대 흐름으로 변화시킨다. 또한, 회전식 플레이트들 (510, 520) 의 시스템은 장치 (100) 의 처리량을 개선시킬 수 있는 바람직한 개방 및 폐쇄 속도, 및 주파수 응답을 포함하는 특징들을 갖는 컨덕턴스 제어 어셈블리 (200) 를 제공하는데 필요한 바와 같이 설계될 수 있다. 회전식 플레이트들 (510, 520) 의 형상 및 질량 (관성 모멘트) 도 또한 화학적 격리 챔버 (110) 내에 맞게 되는 크기를 가질 수 있다.
예시적인 실시예에 따라서, 회전식 플레이트들 (510, 520) 각각은 회전식 플레이트들 (510, 520) 주변에서 균등하게 분포된 복수의 자석들 (미도시) 을 갖는다. 예시적인 실시예에 따라서, 회전식 플레이트 (510) 이 회전하면 상기 복수의 자석들이 하부 플레이트 (520) 의 대응하는 회전을 유발한다. 예시적인 실시예에 따라서, 진공 측에서 회전하는 회전식 플레이트 (520) 가 대기 측에서 회전하는 플레이트 (510) 에 자기적으로 커플링되며, 이 대기 측에서 회전하는 플레이트 (510) 는 풀리들, 모터들, 벨트 드라이버들과 같은 회전 수단 또는 알려진 방법을 통해서 구동될 수 있다.
예시적인 실시예에 따라서, 회전식 플레이트들 (510, 520) 은 서로 자기적으로 커플링되며 상부 플레이트 (510) 는 예를 들어서 스크루 타입 운동에 의해서 구동될 수 있는데, 예를 들자면 컨덕턴스가 신속하게 변화될 수 있도록 유용할 수 있는 풀리들, 모터들, 벨트 드라이버들과 회전 수단 또는 알려진 방법에 의해서 구동될 수 있다.
도 8은 예시적인 실시예에 따른, 개방 위치 (좌측 밸브 600A) 및 폐쇄 위치 (우측 밸브 600B) 에 있는 선형 자기 커플링 기반 밸브 (600A, 600B) 를 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 및 캐비티 (150) 의 단면도이다. 도 8에 도시된 바와 같이, 선형 자기 커플링 기반 밸브 (600A, 600B) 는 복수의 배기 채널 (624) 내에서 자기적으로 상승 및 하강하도록 구성되는 복수의 선형 로드들 (rods) (620) 을 갖는 선형 플레이트 또는 링 (610) 을 포함하며, 이 선형 로드들이 개방 위치에서는 캐비티 (150) 로부터 반응기 화학물질들을 배출하고 폐쇄 위치에서는 캐비티 (150) 로부터 반응기 화학물질들이 빠져나가는 것을 방지하는 밸브 역할을 하도록 구성된다.
예시적인 실시예에 따라서, 복수의 배기 채널들 (624) 은 캐비티 (150) 주변에서 동심으로 위치한 복수의 배기 통로들 (180) (도 7) 에 유체적으로 연결된다. 배기 통로들 (180) 은 캐비티 (150) 의 외측 에지로부터 방사상 외측으로 복수의 배기 채널들 (624) 로 연장된다. 예시적인 실시예에 따라서, 선형 로드들 (624) 각각의 상부 부분 (622) 은 자기 하우징 (630) 에 자기적으로 커플링된다. 자기 하우징 (630) 은 활성화되면 자기 커플링을 통해서 복수의 선형 로드들 (620) 을 상승 및 하강시키도록 구성되며, 이로써 캐비티 (150) 내의 반응 가스를 배출 도관 (650) 을 통해서 내측 캐비티 (640) 내로 배출하는 밸브 역할을 하게 된다. 이 장치 (100) 는 또한 캐비티 (150) 및 내측 캐비티 (640) 와 유체적으로 연통하는 배기 도관 (170) 을 포함한다.
도 9는 예시적인 실시예에 따른, 폐쇄 위치에 있는 선형 자기 커플링 기반 밸브 (600B) 를 갖는 화학적 증착 장치 (100) 의 캐비티 (150) 의 단면도이다. 도 9에 도시된 바와 같이, 복수의 선형 로드들 (620) 각각은 근위 단부 (622) (proximal end) 및 원위 단부 (distal end) (624) 를 갖는다. 복수의 선형 로드들 (620) 각각은 또한 자기 하우징 (630) 에 자기적으로 커플링되어서 자기 하우징 (630) 내에서 복수의 선형 로드들 (620) 을 하강 또는 상승시키는 근위 부분 (626) 을 갖는다. 복수의 선형 로드들 (620) 각각의 원위 단부 (624) 는 증착 챔버 (120) 및/또는 캐비티 (150) 내의 반응기 화학물질들에 대하여 초크 (choke) 또는 밸브 역할을 한다. 예시적인 실시예에 따라서, 이 복수의 선형 로드들 (620) 각각의 근위 단부 (624) 를 상승시키면, 반응기 화학물질 및/또는 퍼지 가스는 증착 챔버 (120) 및/또는 캐비티 (150) 로부터 배기 통로 (180) 를 통해서 내측 캐비티 (640) 로 배출된다.
또한, 프로세싱 장치 내에서 반도체 기판들을 프로세싱하기 위한 방법이 본 명세서에서 기술된다. 이 방법은 반응기 화학물질 소스로부터 반응기 화학물질를 증착 챔버 내로 공급하는 단계 및 플라즈마 프로세싱 챔버 내에서 반도체 기판을 프로세싱하는 단계를 포함한다. 이 방법은 바람직하게는 기판을 플라즈마 프로세싱하는 단계를 포함하며, 이 단계에서 RF 에너지가 RF 생성기를 사용하여서 반응기 화학물질에 인가되며 이로써 증착 챔버 내에서 플라즈마를 생성한다.
용어 "약" 또는 "대략"이 수치 값과 관련하여서 본 명세서에서 사용되는 경우에, 해당 수치 값은 기재된 수치 값 주변의 ± 10 % 편차를 포함함을 의도한다.
또한, 용어들 "대체적으로", "상대적으로" 및 "실질적으로"이 기하학적 형상들과 관련하여서 사용되는 경우에, 해당 기하학적 형상의 정밀성이 요구되기보다는, 해당 형상에 대한 허용범위 (latitude) 가 본 개시의 범위 내에 있음이 의도된다. 용어들 "대체적으로", "상대적으로" 및 "실질적으로"이 기하학적 용어들과 함께 사용되는 경우에, 이 용어들은 그 용어에 대한 엄격한 정의를 만족하는 특징부들뿐만 아니라 이 엄격한 정의와 매우 근사한 특징부들도 포함함을 의도한다.
등온 증착 챔버를 포함하는 플라즈마 프로세싱 장치가 본 발명의 특정 실시예들을 참조하여서 세부적으로 기술되었지만, 다양한 수정 및 변경이 첨부된 청구항들의 범위 내에서 가능하고 그 균등 사항들도 가능함은 본 기술 분야의 당업자에게 자명하다.

Claims (20)

  1. 화학적 격리 챔버 (chemical isolation chamber) ;
    상기 화학적 격리 챔버 내에 형성된 증착 챔버;
    대면플레이트 (faceplate) 및 백킹플레이트 (backing plate) 를 갖는 샤워헤드 모듈로서, 상기 샤워헤드 모듈은 반응기 화학물질들을 캐비티 (cavity) 로 전달하는 복수의 유입구들 및 반응기 화학물질들을 제거하는 배출구들 (exhaust outlets) 을 포함하는, 상기 샤워헤드 모듈; 및
    상기 배출구들을 통해서 상기 캐비티에 유체적으로 연통하는 적어도 하나의 컨덕턴스 제어 어셈블리 (conductance control assembly) 를 포함하며,
    상기 적어도 하나의 컨덕턴스 제어 어셈블리는 하나 이상의 배기 진공 라인들에 의해서 배기 장치에 유체적으로 연결되며,
    상기 적어도 하나의 컨덕턴스 제어 어셈블리는 볼 밸브 어셈블리 (ball valve assembly), 유체 밸브 (fluidic valve), 회전식 밸브 (rotary valve), 및 자기 커플링된 선형 밸브 (magnetically coupled linear valve) 중 하나 이상으로부터 선택되며,
    (a) 상기 볼 밸브 어셈블리는,
    코니컬 (conical) 하부 부분을 갖는 하우징;
    상기 하우징의 상기 코니컬 하부 부분으로부터 상기 캐비티의 상기 배출구들 중 하나 이상으로 연장되는 도관으로서, 상기 도관은 유입구 및 유출구를 갖는, 상기 도관; 및
    상기 코니컬 하부 부분 내에 피팅되도록 (fitted) 구성된 구형 바디 (spherical body) 를 포함하며,
    상기 구형 바디는 도징 단계 (dosing step) 동안에 상기 도관의 상기 유출구를 막아서 상기 도관을 통한 반응기 화학물질들의 흐름을 방지하고, 퍼징 단계 (purging step) 동안에 상기 캐비티 내의 제 1 압력 및 플로우 레이트를 초과하면, 상기 구형 바디의 하부 표면과 상기 도관의 상기 유출구 사이에 개구부를 제공하도록 상향으로 이동함으로써 반응기 화학물질들 및 퍼지 가스로 하여금 상기 캐비티로부터 하나 이상의 배기 진공 라인들 내로 흐르게 하도록 구성되며;
    (b) 상기 유체 밸브는 변조 가스 부분 및 챔버 유출 부분을 가지며,
    상기 변조 가스 부분은 변조 가스 (modulating gas) 의 스트림을 변조 가스 공급부로부터 상기 캐비티로부터의 반응기 화학물질들의 흐름 내로 향하게 하도록 구성되며,
    상기 변조 가스의 스트림은 상기 캐비티로부터의 반응기 화학물질들의 흐름에 의해서 경험되는 흐름 저항을 변화시키며;
    (c) 상기 회전식 밸브는,
    상부 회전 플레이트; 및
    상기 상부 회전 플레이트에 자기적으로 커플링된 하부 회전 플레이트를 포함하며,
    상기 하부 회전 플레이트는 복수의 도관들을 가지며, 상기 복수의 도관들 각각은 상기 배출구들 중 하나 이상과 유체적으로 연통하는, 상기 샤워헤드 모듈 내의 대응하는 배기 도관으로부터 상기 캐비티로부터의 반응기 화학물질들을 수용하도록 구성되며; 및/또는
    (d) 상기 자기적으로 커플링된 선형 밸브는,
    자기 하우징 (magnetic housing); 및
    복수의 채널 내에서 자기적으로 상승 및 하강하도록 구성된 복수의 선형 로드들 (rods) 을 포함하며,
    상기 복수의 선형 로드들 (rods) 각각은 상기 자기 하우징과 자기적으로 커플링하여서 상기 자기 하우징 내에서 상기 복수의 선형 로드들을 상승 및 하강시키는 근위 부분 (proximal portion), 및 상기 반응기 화학물질들 및/또는 퍼지 가스를 상기 배출구들로부터 릴리즈 (release) 시키는 밸브로서 작용하는 원위 단부 (distal end) 를 갖는, 화학적 증착 장치.
  2. 제 1 항에 있어서,
    상기 반응기 화학물질들의 상기 캐비티를 퍼지하도록 상기 캐비티에 공급되는 퍼지 가스의 소스를 포함하는, 화학적 증착 장치.
  3. 제 1 항에 있어서,
    상기 볼 밸브 어셈블리의 상기 하우징은 상기 하나 이상의 배기 진공 라인들과 유체적으로 연통하는 상부 부분을 포함하는, 화학적 증착 장치.
  4. 제 1 항에 있어서,
    상기 볼 밸브 어셈블리의 상기 구형 바디는 상기 도징 단계 동안에 상기 캐비티 내의 상기 제 1 압력 및 플로우 레이트 이하에서 상기 도관의 상기 유출구를 막도록 구성되는, 화학적 증착 장치.
  5. 제 1 항에 있어서,
    기판을 지지하도록 구성된 페데스탈 모듈을 포함하며,
    상기 페데스탈 모듈은 상기 대면플레이트의 외측 부분과 상기 페데스탈 모듈 간의 상기 캐비티를 폐쇄하도록 수직으로 이동하며,
    상기 적어도 하나의 컨덕턴스 제어 어셈블리는 상기 기판 페데스탈 모듈 주변에서 원주 방향으로 균일하게 이격된 복수의 컨덕턴스 제어 어셈블리들인, 화학적 증착 장치.
  6. 제 5 항에 있어서,
    상기 복수의 컨덕턴스 제어 어셈블리들 각각은 2 개 이상의 배출구들과 유체적으로 연결되도록 구성되는, 화학적 증착 장치.
  7. 제 1 항에 있어서,
    상기 볼 밸브 어셈블리의 상기 구형 바디는 침식 내성 재료로 이루어지며,
    상기 구형 바디의 중량 및 크기는 상기 캐비티로부터 상기 반응기 화학물질들을 퍼징하는 동안에만 상향 이동하도록 구성되는, 화학적 증착 장치.
  8. 제 1 항에 있어서,
    반도체 기판을 포함하며,
    화학 기상 증착, 플라즈마 강화형 화학 기상 증착, 원자 층 증착, 플라즈마 강화형 원자 층 증착, 펄스형 층 증착 및/또는 플라즈마 강화형 펄스형 층 증착 중 적어도 하나가 상기 기판 상에서 수행되는, 화학적 증착 장치.
  9. 제 1 항에 있어서,
    상기 유체 밸브의 상기 변조 가스는 비활성 가스인, 화학적 증착 장치.
  10. 제 9 항에 있어서,
    상기 유체 밸브의 상기 변조 가스 부분은 상기 변조 가스를 상기 변조 가스 공급부로부터 수용하는 변조 가스 유입구, 내측 캐비티, 적어도 하나의 유입구 및 적어도 하나의 유출구를 가지며,
    상기 적어도 하나의 유출구는 상기 변조 가스의 스트림을 상기 캐비티로부터의 반응기 화학물질들의 흐름 내로 향하게 하도록 구성되는, 화학적 증착 장치.
  11. 제 10 항에 있어서,
    상기 적어도 하나의 유입구 및 상기 적어도 하나의 유출구는 도관을 형성하는 상기 유체 밸브의 변조 가스 부분 내의 원통형 구멍들인, 화학적 증착 장치.
  12. 제 1 항에 있어서,
    상기 유체 밸브의 상기 변조 가스와 반응기 화학물질들은 상기 하나 이상의 진공 가스 라인들과 유체적으로 연통하는 캐비티 내에서 서로 결합되는, 화학적 증착 장치.
  13. 제 1 항에 있어서,
    상기 회전식 밸브의 상기 상부 회전 플레이트와 상기 하부 회전 플레이트는 자기적으로 커플링되는, 화학적 증착 장치.
  14. 제 13 항에 있어서,
    상기 상부 회전 플레이트를 회전시키는 수단을 포함하는, 화학적 증착 장치.
  15. 제 14 항에 있어서,
    상기 하부 회전 플레이트 내의 상기 복수의 도관들 각각은 상기 하부 회전 플레이트의 하부 표면에서 유입구를 가지며 상기 하부 회전 플레이트의 상부 표면에서 유출구를 가지며,
    상기 복수의 배기 도관들 각각도 상기 캐비티와 유체적으로 연통하는 유입구 및 상기 하부 회전 플레이트의 상기 유입구와 유체적으로 연통하는 유출구를 포함하는, 화학적 증착 장치.
  16. 제 15 항에 있어서,
    상기 하부 회전 플레이트의 상기 유출구들 각각은 상기 하나 이상의 배기 가스 라인들과 유체적으로 연통하는 내측 캐비티와 유체적으로 연통하는, 화학적 증착 장치.
  17. 제 1 항에 있어서,
    상기 배출구들은 동심 배출구들인, 화학적 증착 장치.
  18. 제 1 항에 있어서,
    상기 적어도 하나의 컨덕턴스 제어 어셈블리는 10의 세제곱 크기를 갖는, 최소에서 최대까지의 컨덕턴스의 범위를 갖는, 화학적 증착 장치.
  19. 화학적 증착 장치의 캐비티 내의 컨덕턴스를 제어하는 방법으로서,
    상기 화학적 증착 장치의 상기 캐비티 내에서 기판을 프로세싱하는 단계로서, 상기 캐비티는 샤워헤드 모듈과 상기 기판을 수용하도록 구성된 기판 페데스탈 모듈 간에서 형성되며, 상기 샤워헤드 모듈은 반응기 화학물질들을 상기 캐비티로 전달하는 복수의 유입구들 및 상기 캐비티로부터 반응기 화학물질들 및 퍼지 가스들을 제거하는 배출구들을 포함하는, 상기 기판을 프로세싱하는 단계;
    상기 캐비티 내로 퍼지 가스를 주입하는 단계; 및
    상기 배출구들을 통해서 상기 캐비티에 유체적으로 연통하는 적어도 하나의 컨덕턴스 제어 어셈블리 (conductance control assembly) 를 사용하여서 상기 캐비티의 컨덕턴스 변화를 제어하는 단계를 포함하며,
    상기 적어도 하나의 컨덕턴스 제어 어셈블리는 볼 밸브 어셈블리 (ball valve assembly), 유체 밸브 (fluidic valve), 회전식 밸브 (rotary valve), 및 자기 커플링된 선형 밸브 (magnetically coupled linear valve) 중 하나 이상으로부터 선택되며,
    (a) 상기 볼 밸브 어셈블리는,
    코니컬 (conical) 하부 부분을 갖는 하우징;
    상기 하우징의 상기 코니컬 하부 부분으로부터 상기 캐비티의 상기 배출구들 중 하나 이상으로 연장되는 도관으로서, 상기 도관은 유입구 및 유출구를 갖는, 상기 도관; 및
    상기 코니컬 하부 부분 내에 피팅되도록 (fitted) 구성된 구형 바디 (spherical body) 를 포함하며,
    상기 구형 바디는 도징 단계 (dosing step) 동안에 상기 도관의 상기 유출구를 막고 상기 도관을 통한 반응기 화학물질들의 흐름을 방지하고, 퍼징 단계 (purging step) 동안에 상기 캐비티 내의 제 1 압력 및 플로우 레이트를 초과하면, 상기 구형 바디의 하부 표면과 상기 도관의 상기 유출구 사이에 개구부를 제공하도록 상향으로 이동함으로써 상기 반응기 화학물질들 및 퍼지 가스로 하여금 상기 캐비티로부터 하나 이상의 배기 진공 라인들 내로 흐르게 하도록 구성되며;
    (b) 상기 유체 밸브는 변조 가스 부분 및 챔버 유출 부분을 가지며,
    상기 변조 가스 부분은 변조 가스 (modulating gas) 의 스트림을 변조 가스 공급부로부터 상기 캐비티로부터의 반응기 화학물질들의 흐름 내로 향하게 하도록 구성되며,
    상기 변조 가스의 스트림은 상기 캐비티로부터의 반응기 화학물질의 흐름에 의해서 경험되는 흐름 저항을 변화시키며;
    (c) 상기 회전식 밸브는,
    상부 회전 플레이트; 및
    상기 상부 회전 플레이트에 자기적으로 커플링된 하부 회전 플레이트를 포함하며,
    상기 하부 회전 플레이트는 복수의 도관들을 가지며, 상기 복수의 도관들 각각은 상기 배출구들 중 하나 이상과 유체적으로 연통하는, 상기 샤워헤드 모듈 내의 대응하는 배기 도관으로부터 상기 캐비티로부터의 반응기 화학물질들을 수용하도록 구성되며; 및/또는
    (d) 상기 자기적으로 커플링된 선형 밸브는,
    자기 하우징 (magnetic housing); 및
    복수의 채널 내에서 자기적으로 상승 및 하강하도록 구성된 복수의 선형 로드들 (rods) 을 포함하며,
    상기 복수의 선형 로드들 (rods) 각각은 상기 자기 하우징과 자기적으로 커플링하도록 구성되고 상기 자기 하우징 내에서 상기 복수의 선형 로드들을 상승 및 하강시키는 근위 부분 (proximal portion), 및 상기 반응기 화학물질들 및/또는 퍼지 가스를 상기 배출구들로부터 릴리즈시키는 밸브로서 작용하는 원위 단부 (distal end) 를 갖는, 컨덕턴스 제어 방법.
  20. 제 19 항에 있어서,
    상기 적어도 하나의 컨덕턴스 제어 어셈블리를 하나 이상의 배기 진공 라인들을 사용하여서 배기 장치에 연결시키는 단계를 포함하는, 컨덕턴스 제어 방법.
KR1020140083338A 2013-07-03 2014-07-03 컨덕턴스 제어를 갖는 화학적 증착 장치 KR102224889B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210027240A KR102358027B1 (ko) 2013-07-03 2021-03-02 컨덕턴스 제어를 갖는 화학적 증착 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/934,594 US9490149B2 (en) 2013-07-03 2013-07-03 Chemical deposition apparatus having conductance control
US13/934,594 2013-07-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210027240A Division KR102358027B1 (ko) 2013-07-03 2021-03-02 컨덕턴스 제어를 갖는 화학적 증착 장치

Publications (2)

Publication Number Publication Date
KR20150004771A KR20150004771A (ko) 2015-01-13
KR102224889B1 true KR102224889B1 (ko) 2021-03-08

Family

ID=52133091

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140083338A KR102224889B1 (ko) 2013-07-03 2014-07-03 컨덕턴스 제어를 갖는 화학적 증착 장치
KR1020210027240A KR102358027B1 (ko) 2013-07-03 2021-03-02 컨덕턴스 제어를 갖는 화학적 증착 장치
KR1020220011612A KR102490167B1 (ko) 2013-07-03 2022-01-26 컨덕턴스 제어를 갖는 화학적 증착 장치

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020210027240A KR102358027B1 (ko) 2013-07-03 2021-03-02 컨덕턴스 제어를 갖는 화학적 증착 장치
KR1020220011612A KR102490167B1 (ko) 2013-07-03 2022-01-26 컨덕턴스 제어를 갖는 화학적 증착 장치

Country Status (6)

Country Link
US (2) US9490149B2 (ko)
JP (2) JP6335688B2 (ko)
KR (3) KR102224889B1 (ko)
CN (3) CN110158061B (ko)
SG (2) SG10201403692RA (ko)
TW (2) TWI650444B (ko)

Families Citing this family (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI725067B (zh) * 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109478494B (zh) 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2019054189A (ja) 2017-09-19 2019-04-04 東芝メモリ株式会社 成膜装置および成膜方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR101994700B1 (ko) 2017-09-28 2019-07-01 주식회사 유진테크 샤워헤드 및 기판처리장치
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) * 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20230148393A (ko) 2018-07-27 2023-10-24 어플라이드 머티어리얼스, 인코포레이티드 열 증착을 위한 가스 분배 판
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021195595A (ja) * 2020-06-15 2021-12-27 東京エレクトロン株式会社 シャワープレート及び成膜装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR20230074554A (ko) * 2020-09-25 2023-05-30 램 리써치 코포레이션 고온 프로세스들을 위한 축방향으로 냉각된 금속 샤워헤드들
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020134439A1 (en) 2001-03-22 2002-09-26 Hiroyuki Kawasaki Gas recirculation flow control method and apparatus for use in vacuum system
US20070095283A1 (en) 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
KR101308044B1 (ko) 2013-02-18 2013-10-22 주식회사 월드자석카플링 자석식 커플링장치

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2422040A (en) * 1945-01-27 1947-06-10 Gen Electric Magnetic drive
US2481172A (en) * 1948-05-17 1949-09-06 Jesse D Staggs Magnetically driven fluidhandling device
US2669668A (en) * 1949-02-05 1954-02-16 Hermag Pumps Ltd Magnetically driven centrifugal pump
US3055391A (en) * 1959-06-16 1962-09-25 Jenkins Bros Valve
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS63228716A (ja) * 1987-03-18 1988-09-22 Fujitsu Ltd 気相成長装置
US4790258A (en) * 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
EP0325200B1 (en) * 1988-01-18 1994-04-13 Hitachi, Ltd. Rotary valve
US4825897A (en) * 1988-05-19 1989-05-02 Shade Stephen A Flow control valve
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5361053A (en) * 1993-10-07 1994-11-01 Unisia Jecs Corporation Super magnetostriction type actuator
JPH07263351A (ja) * 1994-03-22 1995-10-13 Hitachi Ltd 気相成長装置
US5488969A (en) * 1994-11-04 1996-02-06 Gas Research Institute Metering valve
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
DE19601541A1 (de) * 1995-01-27 1996-08-01 Seiko Seiki Kk In einer Vakuumumgebung einsetzbares Vertikaltransfersystem sowie dazugehöriges Absperrventilsystem
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5701043A (en) * 1996-09-09 1997-12-23 Razzaghi; Mahmoud High resolution actuator
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
EP1119723B1 (en) * 1998-10-08 2004-08-18 Camcon Magnetic drives
US20010047756A1 (en) * 1999-05-17 2001-12-06 Bartholomew Lawrence Duane Gas distribution system
US6572924B1 (en) * 1999-11-18 2003-06-03 Asm America, Inc. Exhaust system for vapor deposition reactor and method of using the same
JP2001279450A (ja) * 2000-03-31 2001-10-10 Hitachi Kokusai Electric Inc 基板処理装置
US6531069B1 (en) * 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR100528561B1 (ko) * 2003-04-21 2005-11-16 엘지전자 주식회사 전자기력 구동 유량 제어 밸브 및 그의 제조방법과 이를이용한 열 교환 장치
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
EP1649076B1 (en) * 2003-06-27 2010-05-19 Sundew Technologies, LLC Apparatus and method for chemical source vapor pressure control
US7262536B2 (en) * 2003-08-11 2007-08-28 General Motors Corporation Gearless wheel motor drive system
SI21714A (en) * 2004-02-23 2005-08-31 Inst Jozef Stefan Procedure and device for measuring ultrahigh vacuum
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US8844461B2 (en) * 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods
US7171981B2 (en) * 2004-07-02 2007-02-06 Watersav Enterprises, Llc Flow control device and system
US7227440B2 (en) * 2005-03-03 2007-06-05 Pratt & Whitney Canada Corp. Electromagnetic actuator
US7281700B2 (en) * 2005-04-12 2007-10-16 Tokyo Electron Limited Gate valve apparatus for vacuum processing system
TWI312012B (en) * 2005-07-13 2009-07-11 Applied Materials Inc Improved magnetron sputtering system for large-area substrates having removable anodes
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US20080191155A1 (en) * 2007-02-09 2008-08-14 Intevac, Inc. Magnetically coupled valve actuator
JP5135856B2 (ja) * 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US8287647B2 (en) 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
KR20100024925A (ko) * 2007-05-31 2010-03-08 도쿄엘렉트론가부시키가이샤 유체 제어 장치
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
GB0723827D0 (en) * 2007-12-06 2008-01-16 Kohler Mira Ltd Flow control valve
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP4815538B2 (ja) * 2010-01-15 2011-11-16 シーケーディ株式会社 真空制御システムおよび真空制御方法
JP2011174540A (ja) * 2010-02-24 2011-09-08 Tokyo Electron Ltd 真空排気用のボールバルブ及び真空排気装置
CN102906305B (zh) 2010-04-15 2016-01-13 诺发系统公司 气体和液体的喷射的方法和装置
CN102242349A (zh) * 2010-05-14 2011-11-16 亚树科技股份有限公司 可拆卸式进出气结构及其导电膜成膜装置
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
CN103649003B (zh) * 2011-07-12 2016-08-24 旭硝子株式会社 带层叠膜的玻璃基板的制造方法
US8613267B1 (en) * 2011-07-19 2013-12-24 Lightsail Energy, Inc. Valve
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9212422B2 (en) * 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US20130153054A1 (en) * 2011-12-19 2013-06-20 Intermolecular, Inc. Combinatorial Processing Tool
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
US20150192209A1 (en) * 2012-08-08 2015-07-09 Smiths Detection-Watford Limited Inlet closure mechanism
US20140241848A1 (en) * 2013-02-25 2014-08-28 Varian Semiconductor Equipment Associates, Inc. Electric switchable magnet slitvalve
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10217614B2 (en) * 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020134439A1 (en) 2001-03-22 2002-09-26 Hiroyuki Kawasaki Gas recirculation flow control method and apparatus for use in vacuum system
US20070095283A1 (en) 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
KR101308044B1 (ko) 2013-02-18 2013-10-22 주식회사 월드자석카플링 자석식 커플링장치

Also Published As

Publication number Publication date
JP2015015469A (ja) 2015-01-22
KR20150004771A (ko) 2015-01-13
CN110158061B (zh) 2021-06-18
CN110158061A (zh) 2019-08-23
TW201907051A (zh) 2019-02-16
KR20220018530A (ko) 2022-02-15
TWI650444B (zh) 2019-02-11
JP6335688B2 (ja) 2018-05-30
CN113186519B (zh) 2023-06-20
US20170009348A1 (en) 2017-01-12
KR102358027B1 (ko) 2022-02-08
SG10201802646PA (en) 2018-05-30
KR102490167B1 (ko) 2023-01-18
US9490149B2 (en) 2016-11-08
TWI676708B (zh) 2019-11-11
JP6574020B2 (ja) 2019-09-11
US20150011095A1 (en) 2015-01-08
CN113186519A (zh) 2021-07-30
TW201512451A (zh) 2015-04-01
CN104278253B (zh) 2019-05-31
SG10201403692RA (en) 2015-02-27
CN104278253A (zh) 2015-01-14
JP2018152577A (ja) 2018-09-27
KR20210029176A (ko) 2021-03-15

Similar Documents

Publication Publication Date Title
KR102358027B1 (ko) 컨덕턴스 제어를 갖는 화학적 증착 장치
US11001925B2 (en) Substrate processing apparatus
CN104250728B (zh) 具有气封的化学沉积腔室
KR102640272B1 (ko) 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들
CN105970187B (zh) 多区反应器、包括该反应器的系统和使用该反应器的方法
US10781516B2 (en) Chemical deposition chamber having gas seal
WO2015175163A1 (en) Showerhead design
WO2019032324A1 (en) SHOWERHEAD AND TREATMENT CHAMBER INCORPORATING IT
KR20230151975A (ko) 가스 시일링을 갖는 화학적 증착 챔버
WO2019161109A1 (en) Plasma spreading apparatus and system, and method for spreading plasma in process ovens
KR20130137959A (ko) 기판처리장치
KR100952671B1 (ko) 척킹부재, 이를 갖는 기판 처리 장치 및 이를 이용한 기판 처리 방법
US20070045239A1 (en) Apparatus and method for processing a microfeature workpiece using a plasma
KR101982832B1 (ko) 버퍼 유닛 및 이를 가지는 기판 처리 장치
KR20230151586A (ko) 가스 공급 유닛을 포함하는 기판 처리 장치 및 기판 처리 방법
KR102299884B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20140037906A (ko) 기판 처리 장치 및 방법
KR20200010876A (ko) 기판 처리 장치 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant