CN113186519A - 具有导通控制的化学沉积设备 - Google Patents

具有导通控制的化学沉积设备 Download PDF

Info

Publication number
CN113186519A
CN113186519A CN202110249882.5A CN202110249882A CN113186519A CN 113186519 A CN113186519 A CN 113186519A CN 202110249882 A CN202110249882 A CN 202110249882A CN 113186519 A CN113186519 A CN 113186519A
Authority
CN
China
Prior art keywords
chamber
deposition
rotating plate
substrate
cavity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110249882.5A
Other languages
English (en)
Other versions
CN113186519B (zh
Inventor
拉梅什·钱德拉赛卡兰
卡尔·利泽
夏春光
杰里米·塔克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN113186519A publication Critical patent/CN113186519A/zh
Application granted granted Critical
Publication of CN113186519B publication Critical patent/CN113186519B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种具有导通控制的化学沉积设备,其包括:具有面板和背板的喷头模块,所述喷头模块包括输送反应器化学成分到腔体以及清除反应器化学成分的排放出口;基座模块,其被配置为支撑衬底,并且垂直移动以关闭所述基座模块与所述面板的外部之间的腔体;以及至少一个导通控制组件,经由所述排放出口流体连通所述腔体。所述至少一个导通控制组件选自以下各项中的一个或多个:磁耦合旋转板和/或基于线性的磁系统。

Description

具有导通控制的化学沉积设备
本申请是申请号为201910353875.2、申请日为2014年7月3日、发明名称为“具有导通控制的化学沉积设备”的发明专利申请的分案申请。且申请号为201910353875.2的发明专利申请是申请号为201410314732.8、申请日为2014年7月3日、发明名称为“具有导通控制的化学沉积设备”的发明专利申请的分案申请。
技术领域
本发明属于用于进行化学沉积以及用于进行等离子体增强化学沉积的设备和方法。
背景技术
等离子体处理设备可以用于通过包含以下技术在内的技术处理半导体衬底:蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、等离子体增强脉冲沉积层(PEPDL)处理和抗蚀剂剥离。例如,在等离子体处理中使用的一种等离子体处理设备包括含顶电极和底电极的反应室或沉积室。在电极之间施加射频(RF)功率以激发处理气体或反应器化学成分为等离子体,用于处理反应室中的半导体衬底。
发明内容
本发明公开了一种化学沉积设备,所述化学沉积设备包括:化学离析室;形成在所述化学离析室内的沉积室;具有面板和背板的喷头模块,所述喷头模块包括输送反应器化学成分到腔体的多个入口和清除反应器化学成分的排放出口;以及至少一个导通控制组件,其经由所述排放出口流体连通所述腔体并且抽排设备通过所述一个或多个抽排真空管道流体连接到所述至少一个导通控制组件,所述至少一个导通控制组件选自以下各项的一个或多个:(a)球阀组件,所述球阀组件包括:具有锥形下部的壳体;从所述壳体的所述锥形下部延伸到所述腔体的一个或多个排放出口的导管,所述导管具有入口和出口;以及被配置为配合(fit)在所述锥形下部内的球状体,并且其中所述球状体被配置为在配料步骤期间阻塞所述导管的所述出口并且防止所述反应器化学成分流过所述导管,并且在清扫(purging)步骤期间当超过所述腔体内的第一压力和流速时通过上升以在所述球状体的下表面与所述导管的所述出口之间提供开口来允许所述反应器化学成分和净化气体从所述腔体流入一个或多个抽排真空管道;(b)流体阀,所述流体阀具有调节气体部分和室流出部分,所述调节气体部分被配置为引导来自调节气体源的调节气体流进入来自所述腔体的反应器化学成分流,并且其中所述调节气体流调节来自所述腔体的所述反应器化学成分流受到的流阻;(c)回转阀,所述回转阀包括:上旋转板;以及与所述上旋转板磁耦合的下旋转板,所述下旋转板具有多个导管,所述多个导管的每一个被配置为从所述喷头模块内对应的抽排导管接收来自所述腔体的反应器化学成分,所述抽排导管流体连通一个或多个所述排放出口;和/或(d)磁耦合线性阀,所述磁耦合线性阀包括:磁性壳体;以及多个直杆,所述多个直杆被配置为在多个通道内磁致(magnetically)上升和下降,所述多个直杆的每一个具有近端部和远端部,所述近端部被配置为磁耦合所述磁性壳体,从而在所述磁性壳体内升高和降低所述多个直杆,所述远端部充当用于从所述排放出口释放所述反应器化学成分和/或所述净化气体的阀门。
本发明公开了一种控制化学沉积设备的腔体内的导通的方法,所述方法包括:在所述化学沉积设备的所述腔体内处理衬底,所述腔体形成在喷头模块与被配置为接收所述衬底的衬底基座模块之间,其中所述喷头模块包括输送反应器化学成分到所述腔体的多个入口和从所述腔体清除反应器化学成分和净化气体的排放出口;注射净化气体到所述腔体中;并且使用经由所述排放出口流体连通所述腔体的至少一个导通控制组件来控制所述腔体的导通状态的变化,所述至少一个导通控制组件选自以下各项中的一个或多个:(a)球阀组件,所述球阀组件包括:具有锥形下部的壳体;从所述壳体的所述锥形下部延伸到所述腔体的一个或多个排放出口的导管,所述导管具有入口和出口;以及被配置为配合在所述锥形下部内的球状体,并且其中所述球状体被配置为在配料步骤期间阻塞所述导管的所述出口并且防止所述反应器化学成分流过所述导管,并且在清扫步骤期间当超过所述腔体内的第一压力和流速时通过上升以在所述球状体的下表面与所述导管的所述出口之间提供开口来允许所述反应器化学成分和净化气体从所述腔体流入一个或多个抽排真空管道;(b)流体阀,所述流体阀具有调节气体部分和室流出部分,所述调节气体部分被配置为引导来自调节气体源的调节气体流进入来自所述腔体的反应器化学成分流,并且其中所述调节气体流调节来自所述腔体的所述反应器化学成分流受到的流阻;(c)回转阀,所述回转阀包括:上旋转板;以及与所述上旋转板磁耦合的下旋转板,所述下旋转板具有多个导管,所述多个导管的每一个被配置为从所述喷头模块内对应的抽排导管接收来自所述腔体的反应器化学成分,所述抽排导管流体连通一个或多个所述排放出口;和/或(d)磁耦合线性阀,所述磁耦合线性阀包括:磁性壳体;以及多个直杆,所述多个直杆被配置为在多个通道内磁致上升和下降,所述多个直杆的每一个具有近端部和远端部,所述近端部被配置为磁耦合所述磁性壳体,从而在所述磁性壳体内升高和降低所述多个直杆,并且所述远端部充当用于从所述排放出口释放所述反应器化学成分和/或所述净化气体的阀门。
附图说明
图1A图示了根据示例性实施方式的具有基座的化学沉积设备的示意图。
图1B图示了根据示例性实施方式的没有基座的化学沉积设备的示意图。
图2图示了根据示例性实施方式的具有多个导通控制组件的设备的示意图。
图3图示了根据示例性实施方式的球阀组件的局部视图。
图4图示了根据示例性实施方式的流体阀的横截面图的示意图。
图5图示了根据示例性实施方式的流体阀的视图。
图6图示了根据示例性实施方式化学沉积设备的腔体的横截面图,该化学沉积设备具有带磁耦合的回转阀。
图7图示了根据示例性实施方式的如图6所示的腔体的一部分的横截面图。
图8图示了根据示例性实施方式的化学沉积设备的腔体的横截面图,该化学沉积设备具有处于关闭位置的基于线性磁耦合的阀门。
图9图示了根据示例性实施方式的化学沉积设备的腔体的横截面图,该化学沉积设备具有处于关闭位置的基于线性磁耦合的阀门。
具体实施方式
在以下详细公开中,阐述了示例性实施方式以便提供对本文所述的设备和方法的理解。然而,本领域技术人员将会认识到示例性实施方式可以在没有这些具体细节或通过使用替代要素或方法的情况下实施。在其他实例中,没有详细描述公知的方法、过程和/或部件以便不必要地模糊本文所述的实施方式的方面。
根据示例性实施方式,本文所述的设备及相关方法可以用于进行化学沉积,例如,等离子体增强的化学沉积。所述设备和方法可以结合在多步骤沉积工艺中要求分离自限制沉积工艺的基于半导体制造的电介质沉积方法(例如,原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)或等离子体增强脉冲沉积层(PEPDL)处理)使用,但是它们不限于此。
如上所述,本实施方式提供了用于操作例如等离子体增强化学气相沉积之类的化学沉积的设备及相关方法。所述设备和方法特别适用于结合在多步骤沉积工艺中要求分离自限制沉积工艺的基于半导体制造的电介质沉积方法(例如,原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、等离子体增强化学气相沉积(PECVD)、脉冲沉积层(PDL)或等离子体增强脉冲沉积层(PEPDL)处理)使用,但是它们不限于此。
上述方法会存在与接收沉积材料的晶片或衬底上不均匀的温度有关的一些缺陷。例如,当与周围的室部件热接触的被动加热喷头损失热量到周围的部件时,会在衬底上形成不均匀的温度。因此,形成处理区的上壁的喷头优选地与周围的部件热绝缘,使得可以形成等温的处理区,从而在衬底上形成均匀的温度。衬底上的均匀温度有助于衬底的均匀处理,其中衬底温度提供沉积工艺的激活能量并且因此是用于驱动沉积反应的控制手段。
另外,一般存在两种主要类型的沉积喷头:吊灯式喷头和吸顶式喷头。吊灯式喷头的一端具有连接到室顶部的杆,另一端具有面板,颇似吊灯。杆的一部分可以伸出室顶部从而使气体管线和射频功率能连接上。顶吸式喷头集成在室顶部中并且没有杆。本实施方式属于顶吸式喷头,其中顶吸式喷头减小了在处理期间必须通过真空源抽空的室体积。
图1A和图1B是示出了根据本文所述的实施方式的化学气相沉积设备100的示意图。如图1A和图1B所示,化学设备包括化学离析室或壳体110、沉积室120、喷头模块130和基座移动模块140,该基座移动模块140能相对于喷头模块130升高或下降以升高和降低定位在基座140的上表面的衬底(或晶片)190。喷头模块130还可以垂直升高和下降。反应材料气体(未示出)经由气体管道112被引入子室120中。每个气体管道112可以具有对应的储料器,该储料器可以使用隔离阀与设备100隔离。根据示例性实施方式,设备100可以经过修改以具有带隔离阀和储料器的一个或多个气体管道112,具体取决于所使用的反应气体。另外,反应气体输送线112可以在多个化学沉积设备或多工位系统之间共享。
根据示例性实施方式,室120可以通过与真空源(未示出)连接上的一个或多个真空管道160抽空。例如,真空源可以是真空泵(未示出)。在例如具有进行相同沉积工艺的多个工位或设备100的多工位反应器中,该真空管道160可以与来自另一个工位的真空管道160分享共同的前级真空管道(例如,真空泵之间的真空管道)。此外,设备100可以进行修改以便使每个工位或设备100具有一个或多个真空管道160。
根据示例性实施方式,多个抽排导管170可以被配置为与喷头模块130的面板136内的一个或多个排放出口174流体连通。排放出口174可以被配置为在沉积工艺间隙从腔体150去除处理气体或反应器化学成分192。多个抽排导管170同样与一个或多个真空管道160流体连通。抽排导管170可以绕着衬底190在周向上间隔开并且可以均匀地间隔开。在某些情况下,多个导管170的间距可以被设计成补偿真空管道160的位置。因为一般存在的真空管道160比多个导管170少,所以流过最靠近真空管道160的导管170的流量会大于较远离真空管道160的导管170的流量。为了确保平稳的流动型态,距离真空管道160较远的导管170可以彼此更加靠近。在共同转让的美国专利7,993,457中可以找到化学沉积设备100的示例性实施方式,该化学沉积设备包括多个导管170,这些导管包括可变流速导管,该专利的全部内容通过引用的方式并入本文中。
本文公开的实施方式优选地在等离子体增强化学沉积设备(即,PECVD设备、PEALD设备或EPPDL设备)中实施。这种设备可以具有不同的形式,其中这种设备可以包括容纳一个或多个衬底和适合用于衬底处理的一个或多个室或“反应器”(有时候包括多个工位或如上所述的沉积室)。每个室可以容纳一个或多个用于处理的衬底。一个或多个室维持衬底在一个或多个限定的位置(在该位置运动或不运动,该运动如,旋转、振动或其他搅动)。在一个实施方式中,经历沉积和处理的衬底190可以在处理期间在设备内从一个工位(例如,沉积室)转移到另一个工位。在处理过程中,每个衬底190通过基座模块140、晶片卡盘和/或其他晶片保持设备被保持在合适位置。例如,对于将要加热衬底190的操作,设备100可以包括例如加热板之类的加热器。
基座模块140下降以从基座模块140的上表面接收和/或卸下衬底或晶片。在下方位置,衬底位于基座模块140的表面上,然后基座模块140向上朝着喷头模块130垂直升高。根据示例性实施方式,基座模块140的上表面142与喷头模块130的下表面132之间的距离可以是约0.2英寸(5毫米)至约0.6英寸(15.25毫米),该距离导致空腔150形成。
根据示例性实施方式,喷头模块130被配置为输送反应器化学成分到空腔(或反应室)150。喷头模块130可以包括面板136,该面板具有多个入口或通孔138和背板139。根据示例性实施方式,面板136可以是具有多个入口或通孔138和台阶135的单个板,该台阶135绕着面板136的外周137延伸。可替代地,台阶135可以是单独的环133,该环133被固定在面板136的外部131的下表面上。例如,台阶135可以用螺钉143固定在面板136的外部131上。在共同转让的美国专利5,614,026中可以找到用于分配处理气体的喷头模块130的示例性实施方式,该喷头模块130包括具有同心排放出口174的面板136,该专利的全部内容通过引用的方式并入本文中。例如,根据示例性实施方式,排放出口174包围多个入口138。
在示例性实施方式中,可以通过喷头模块130和/或基座模块140中的加热机构维持室120内部的温度。例如,衬底190可以位于等温环境中,其中喷头模块130和基座模块140被配置为维持衬底190在所需的温度。例如,在示例性实施方式中,喷头模块130可以被加热到大于250℃,并且/或者基座模块140可以被加热到大于250℃。沉积室120用于容纳由电容耦合等离子体型系统产生的等离子体,该电容耦合等离子体型系统包括结合基座模块140一起工作的喷头模块130。
射频源连接到喷头模块130上,射频源如连接到匹配网络(未示出)的高频(HF)射频发生器和低频(LF)射频发生器。由匹配网络供应的功率和频率足以从处理气体/处理蒸气产生等离子体。在一个优选的实施方式中,使用高频发生器和低频发生器两者。在典型工艺中,高频发生器一般工作在约2-100MHz的频率;在优选实施方式中,工作在约13.56MHz。低频发生器一般工作在约50kHz至2MHz;在优选实施方式中,工作在约350kHz至600kHz。可以根据室体积、衬底尺寸和其他因素缩放工艺参数。例如,低频和高频发生器的功率输出一般与衬底的沉积表面积成正比。例如,在300mm晶片上使用的功率一般比在200mm晶片上使用的功率高至少2.25倍。类似地,流速,例如,标准蒸气压,将取决于真空室或沉积室120的自由体积。
在沉积室120内,基座模块140支撑上面可以放置材料的衬底190。基座模块140一般包括卡盘、拨叉或升降销,以便在沉积和/或等离子体处理反应期间和间隙保持并转移衬底。基座模块140可以包括静电卡盘、机械卡盘或者在行业和/或研究中可用的多种其他类型的卡盘。基座模块140可以与加热部件连接上,以加热衬底190到所需温度。一般来讲,衬底190根据将要沉积的材料维持在约25℃至500℃的温度。
根据示例性实施方式,沉积室120包括一个或多个导通控制组件200,这些导通控制组件200被配置为在处理材料气体或反应器化学成分(例如,配料步骤)流动和反应或等离子体步骤(例如,反应步骤)期间(导致晶片或衬底190上形成薄膜)以及在处理材料气体或反应器化学成分的抽排或清扫(例如,清扫步骤)期间控制并调节沉积室120内的室压。根据示例性实施方式,室120的抽排或清扫使用惰性气体或净化气体。例如,如图2至图9所示,导通控制组件200可以包括一个或多个球阀组件300(图2和图3)、一个或多个流体阀400(图4和图5)和/或一个或多个旋转阀门、方位角阀门和/或线性阀500、600(图6至图9),如本文所公开的。根据示例性实施方式,多个排放导管170可以位于衬底190的轴向方向且/或位于衬底190上方,并且可以包括与导通控制组件200流体连接的一个或多个导管和/或通道。根据示例性实施方式,多个排放导管170经由基座模块140上的衬底190周围和/或下方的导管或通路连接到真空管道160。根据示例性实施方式,例如,一个或多个导通组件200的最小至最大导通范围可以具有三(3)个量级。
图2图示了根据示例性实施方式的具有多个导通控制组件200的化学沉积设备100的示意图。如图2所示,化学沉积设备100包括化学离析室或壳体110、沉积室120、喷头模块130、基座移动模块140和多个导通控制组件200,该基座移动模块140可以相对于喷头模块130升高或下降以在基座模块140的上表面升高和降低衬底或晶片(未示出)的位置。多个导通控制组件200可以绕着喷头模块130的外缘对称放置,并且可以将沉积室120流体连接到一个或多个真空线160。根据示例性实施方式,多个导通控制组件200可以被配置为使化学沉积设备100在沉积期间具有低导通处理材料气体并且在反应器化学成分的抽排或清扫期间具有高导通。
根据示例性实施方式,喷头模块130可以包括:面板136,其具有多个通孔或入口138和外排的同心排放出口174;背板139和顶板145。在共同转让的美国专利5,614,026中可以找到用于分配处理气体的喷头130的示例性实施方式,该喷头130包括带同心排放出口174的面板136,该专利的全部内容通过引用的方式并入本文中。根据示例性实施方式,排放通道440(图5)将排放出口174连接到背板139和/或背板139上方的顶板145(未示出)中的排放导管426。根据示例性实施方式,每个排放通道440可以包括导通控制组件200(每个通道一个球,每个通道一个净化气体喷嘴,沿着气体通道设置的一个可变区)。
例如,如果模块具有两个真空连接160和两个排放导管170,那么在面板136中可以存在与每个排放导管170流体连通的多个排放出口174。例如,对于设备100,导通控制组件200的数量可以在2与10之间,更优选地讲在4至8之间,最优选的是6,其中多个导通控制组件200中的每一个与面板136内的两个或更多个排放出口174流体连接,例如,多个导通控制组件200中的每一个与2至20个排放出口174流体连接。在另一个实施方式中,多个导通控制组件200的每一个可以流体连接到喷头模块130的面板136内的单个排放出口174,例如,喷头模块可以包括10至120个导通控制组件200,更优选为20至80个导通控制组件200。
图3图示了根据示例性实施方式的球阀组件300形式的导通控制组件的局部视图。如图3所示,球阀组件300包括:壳体310,具有与腔体150流体连通的导管320;壳体330,在其下部具有锥形部分332并且在其上部具有圆筒形壳体334。锥形部分332在其下部具有与导管320流体连通的出口或开口340。根据示例性实施方式,壳体330的上部或出口360与一个或多个真空管道160流体连通。
根据示例性实施方式,圆筒形球或球状体350位于圆筒形壳体330内并且被配置为停靠在壳体330的锥形部分332内。每个球或球状体350根据它们的重量和/或尺寸被配置为在第一压力和流速或在该第一压力和流速以下阻塞腔体150内的出口或开口340,并且当超过第一压力和流速时,一个或多个球或球状体350会开始向上浮动或上升,从而在球或球状体350的下表面与出口或开口340之间提供开口以允许反应器化学成分和/或净化气体从腔体150流入一个或多个真空管道160。例如,在配料步骤或工艺期间,腔体150内的反应器化学成分和/或净化气体的压力和流速可以足够低以致于球状体350不会向上移动也不会上升,从而允许反应物化学成分被约束在腔体150内。然而,在清扫步骤期间,腔体150内的压力结合净化气体流入腔体150中的流速可以超过第一压力和流速,从而使球状体移位。
根据示例性实施方式,根据绕着衬底190在周向上间隔开并且可以均匀地间隔开的排放导管170的数量,给定化学沉积设备100的球阀组件300的数量可以在2至10之间。根据示例性实施方式,每个球阀组件300可以被配置为流体连接到一个或多个抽排导管(未示出),这些抽排导管被配置为在清扫过程期间抽空来自腔体150的反应器化学成分。
球状体或球350的重量可以被设计以便模拟在净化气体流速下的阀致动。根据示例性实施方式,多个圆筒形球或球状体350的每一个是由耐蚀材料(例如,氧化铝(Al2O3))制成的,举例来说,蓝宝石球状体或球。根据示例性实施方式,球阀组件300的每一个被配置为仅在清扫腔体150期间上升。根据示例性实施方式,在沉积化学反应气体期间,球状体或球350保留在壳体330的锥形部分332内,并且基于球状体或球350的重量和/或大小,这些球状体或球阻塞出口或开口340,从而防止反应气体释放或流入真空系统的前级真空管道中。根据示例性实施方式,球阀组件300的导管320的入口322尽可能靠近腔体150。
根据示例性实施方式,对于设备100,球阀组件300的数量可以在2至10之间,更优选地在4至8之间,并且最优选为6。多个球阀组件300的每一个流体连接到两个或更多个排放出口174,例如,2至20个排放出口174。在示例性实施方式中,多个球阀组件300中的每一个可以流体连接到喷头模块130的面板136内的单个排放出口174,并且可以是10至120个球阀组件300,并且更优选为20至80个球阀组件300。
根据示例性实施方式,多个导通控制组件300可以是依靠重力的机械止回阀,例如,弹簧球阀,其在腔体150内达到预定压力时开启。
图4图示了化学沉积设备100的横截面图的示意图,该化学沉积设备具有流体阀400形式的导通控制组件(图5)。流体阀400使用来自一系列扰流出口的调节气流,这些扰流出口的大小和位置设置成使调节气流形成与腔体150出来的气流相交的射流或喷流,从而形成局部压降。例如,对于给定的调节气流,由于室流速在特定点增大,所以调节气流射流分散并且产生转变点,在该转变点之后,存在的调节气流对腔体150出口处的整体压降没有帮助。因此,在转变点以下,流体阀400可以用作使腔体150内的压力能快速上升的限流装置。此外,腔体150可以在不改变调节气流流速的情况下高效地清扫,从而在处理或配料步骤期间在不牺牲下一步的清扫能力的情况下允许压力更快地上升。
根据示例性实施方式,流体阀400可以在顶板145内,而净化气体导管和管件延伸到背板139与顶板145之间的排放导管170中,而调节气射流与背板139的排放通道中流出的气流相反。根据示例性实施方式,废气形式的反应器化学成分192从面板136中的排放出口174前进穿过面板136与背板139之间的充气室450,然后流出背板139中的排放通道426,废气流在这一点受到来自调节气体供应源402的调节气体的相反的射流的阻碍。
如图4所示,化学沉积设备100包括化学离析室或壳体110、沉积室120、喷头模块130、基座移动模块140和多个流体阀400,该基座移动模块140可以相对于喷头模块130升高或下降以升高和降低位于基座模块140的上表面上的衬底或晶片(未示出)。此外,调节气体或净化气体供应管道402连接到每个流体阀400的上部。
根据示例性实施方式,流体阀400并入沉积室120的气体或压力控制系统中。流体阀400使得能在沉积室120出口处使用最小的额外气体用量和最小地增加腔体150的体积局部地进行导通控制。根据示例性实施方式,这可以通过使用来自一系列分散的流体阀400的调节气流实现。流体阀400的出口416的大小和位置可以设置成使得调节气流形成与腔体150出来的气流相交的射流,从而形成局部压降和/或导通变化。
图5图示了根据示例性实施方式的流体阀400的视图。如图5所示,流体阀400包括调节气体部分410和室流出部分420。调节气体部分410经由一个或多个导管或气体供应管道404流体连接到调节气体供应源402。调节气体供应源402供应优选为惰性气体形式的调节气体406,例如,氮气(N2)或氩气(Ar)。
根据示例性实施方式,调节气体部分410具有:调节入口412,其经由一个或多个导管或气体供应管道404接收调节气体;内腔体413;至少一个入口414和至少一个出口416。根据示例性实施方式,至少一个入口414和至少一个出口416是流体阀400的调节气体部分410内形成导管418的圆筒形孔。根据示例性实施方式,至少一个出口416具有比至少一个入口412的直径小的直径,从而使调节气体406会聚并且形成调节气体406的射流或喷流,调节气体406的射流或喷流与来自晶片或室的腔体150的反应器气流408流体连通。调节气体406和反应器气流408在与一个或多个真空气体管道160流体连通的腔体或排放导管170内结合。
根据示例性实施方式,室流出部分420流体连接腔体150,并且包括接收来自腔体150的反应器气体的一个或多个流动导管426。根据示例性实施方式,一个或多个流动管道426中的每一个具有入口424和出口422。流动导管426的入口424和出口422优选地具有相等直径。根据示例性实施方式,一个或多个流动导管426的入口424流体连接喷头模块130的凹部450。凹部450流体连接一个或多个导管440,并且其中一个或多个导管440中的每一个具有与腔体150流体连通的入口442和出口444。
根据示例性实施方式,调节气体406的射流或喷流阻塞来自腔体150的反应室气流408的流动,这增加了反应器气流408从腔体150溢出或释放的阻力或能力。根据示例性实施方式,随着反应器气流408的增大,在某一点,调节气体406被推开(例如,由于流量增加而干扰射流),并且反应器气流408遇到较小的阻力。根据示例性实施方式,通过调节或改变与室或反应器气流408的流速相比的调节气体406的流速、出口416的尺寸和/或调节气体部分410的出口416与流动导管(或反应器出口平面)426的出口422之间的距离可以有效地调节转变点。
根据示例性实施方式,通过调节调节气体406的流速结合流体阀400的调节部分410和室部分420上对应的入口414、424和出口416、422的大小和直径可以控制调节气体406的流速以及室或反应器气流408的流速。此外,根据一个或多个真空管道160的前级真空管道压力可以控制或改变流体阀400的性能,例如,前级真空管道压力越低,限制性能越好。
根据示例性实施方式,对于例如ALD之类的处理,流体阀400提供减少化学或处理气体用量的能力,并且还可以增加能进行处理的衬底190的数量。此外,本文公开的设备100可以减少增大用于配料步骤的腔体150的压力所需的时间,该设备100具有带调节气体406的流体阀400。例如,由于配料时间的减少,可以提高生产量,即,可以提高在给定时间段内处理的晶片或衬底的数量。
根据示例性实施方式,多个流体阀400绕着喷头模块130的外缘对称地放置,并且经由一个或多个排出导管170流体连接腔体150和一个或多个真空管道160。根据示例性实施方式,流体阀400的数量等于喷头模块130的凹部430内的出口或导管440的数量。例如,在示例性实施方式中,流体阀400的数量可以是10至120个流体阀400,并且更优选为20至80个流体阀400。根据示例性实施方式,对于设备100,其中多个流体阀400中的每一个流体连接两个或更多个抽排导管440,流体阀400的数量可以是例如在2至10之间。
图6图示了根据示例性实施方式的化学沉积设备100的横截面图,该化学沉积设备100具有带磁耦合的回转阀或方位角阀门500。如图6所示,化学沉积设备100包括具有腔体150的化学离析室或壳体110、喷头模块130、基座移动模块(未示出)和旋转式或方位角阀门500,其中该基座移动模块可以相对于喷头模块130升高或降低以将基座模块的上表面上的衬底或晶片(未示出)的位置升高和降低。
图7图示了根据示例性实施方式的如图6所示的化学沉积设备100的回转阀500的一部分的横截面图。如图7所示,回转阀500包括:上旋转或方位角板或环510(或大气中为旋转板),其具有至少一个旋转轴承512,例如,X型薄壁轴承;以及下旋转或方位角板或环520(或在真空中为旋转板),其具有至少一个旋转轴承530。下旋转板或环520包括多个导管或通道540,该多个导管或通道540被配置为接收经由对应的抽排导管174来自腔体150的反应器化学成分。多个通道540中的每一个在下表面上具有入口542并且在上表面上具有出口544。出口544流体连通内腔体或充气室550,该内腔体或充气室550经由排放导管170流体连通一个或多个真空气体管道160(未示出)。根据示例性实施方式,回转阀500的上旋转板或环510可以由挠曲部来驱动,或者可替代地,上旋转板或环510可以集成在具有一体式挠曲部或轴承元件的皮带轮从动盘上。
根据示例性实施方式,多个抽排导管175中的每一个还包括流体连通腔体150的入口176以及流体连通下板520的入口542的出口178。根据示例性实施方式,出口178绕着腔体150同心地布置,并且在周向上间隔开,并且放射状地延伸排放通道180以连接腔体150和抽排导管175。排放通道180从腔体150的外缘放射状地向外延伸到多个抽排导管175。
根据示例性实施方式,多个抽排导管175可以与下板或环510中的多个通道540对齐,使得在下板或环510旋转时,多个抽排导管175出口178与下板或环510的入口542的对准改变回转阀或方位角阀门500的导通性。根据示例性实施方式,下板520充当局部导通控制组件或阀门。多个抽排导管175(例如反应器出口)从一个步骤或工艺到下一个步骤或工艺的导通受到旋转板510、520的特征的控制,该特征如,构成反应器出口的孔或导管174与下旋转板或方位角板520的反应器出口部上的特征或多个通道540对准(或不对准)的程度。
根据示例性实施方式,多个抽排导管175和/或多个通道540可以是圆孔、椭圆孔或其他形状的孔。根据示例性实施方式,多个抽排导管175和通道540的数量可以在约60至120之间,并且最优选地在约90。此外,通过改变多个抽排导管175和多个通道540的大小和形状,可以按照需要调节回转阀500的导通性。例如,通过减少导管和孔174、540的数量、导管和孔174、540的尺寸和/或导管和孔174、540的横截面形状的尺寸可以降低下限导通性。
在使用中,当上板510沿顺时针亦或逆时针方向旋转时,下板520会对应地旋转。下板520的旋转使抽排导管175的出口178相对于下板520内的多个通道540的入口542的相对位置发生变化。根据示例性实施方式,抽排导管175的出口178相对于通道540的入口542的相对位置控制来自腔体150的反应器化学成分192的流动或导通。如果出口178和入口542彼此对准,就会有最大流量。可替代地,如果出口178和入口542只是部分对准,那么会发生流量减小。
根据示例性实施方式,上板和下板510、520可以包括大气侧和真空侧X型轴承(例如,
Figure BDA0002965538810000141
轴承)并且将大气侧磁耦合至线性传输式电机或音圈致动器(未示出)。根据示例性实施方式,例如,接口导管或孔174、540的2至3度的旋转运动可以将设备100的导通性从最小流量改变到最大流量。此外,可以按照需要来设计旋转板510、520的系统以提供具有包括所需的开启速度和关闭速度以及频率响应在内的特征的导通控制组件200,这可以提高设备100的生产量。旋转板510、520的形状和质量(惯性矩)的大小也可以设置成适于安装在化学离析室110内。
根据示例性实施方式,上板和下板510、520中的每一个具有绕着上板和下板510、520等距分布的多个磁体(未示出)。根据示例性实施方式,多个磁体在上板510旋转时使下板520对应地旋转。根据示例性实施方式,在真空中旋转的板520可以磁耦合至在大气侧旋转的板510,后者可以通过旋转手段或公知的方法来驱动,例如,通过皮带轮、马达、带传动来驱动。
根据示例性实施方式,上板510和下板520可以彼此磁耦合,并且上板510可以通过螺旋式运动来驱动,例如,通过旋转手段或公知的方法来驱动,例如,通过皮带轮、马达、带传动来驱动,这可以用于快速改变导通状态。
图8图示了根据示例性实施方式的化学沉积设备100的沉积室120和腔体150的横截面图,该化学沉积设备具有处于开启位置(左阀门600A)和关闭位置(右阀门600B)的线性磁耦合阀600A、600B。如图9所示,线性磁耦合阀600A、600B包括具有多个直杆620的线性板或环610,所述直杆被配置为在多个排放通道624内磁致上升和下降,从而被配置为充当阀门以在开启位置释放来自腔体150的反应器化学成分,并且在关闭位置防止从腔体150溢出反应器化学成分。
根据示例性实施方式,多个排放通道624流体连接到绕着腔体150同心布置的多个排放通道180(图7)。排放通道180从腔体150的外缘径向向外延伸到多个抽排通道624。根据示例性实施方式,每个直杆620的上部622磁耦合至磁性壳体630。磁性壳体630在自身激活时被配置为经由磁耦合升高和降低多个直杆620,所述直杆620充当阀门以经由抽排导管650释放腔体150内的反应气体到内腔体640内。设备100还包括排放导管170,该排放导管与腔体150和内腔体640流体连通。
图9图示了根据示例性实施方式的化学沉积设备100的腔体150的横截面图,该化学沉积设备100具有处于关闭位置的线性阀600B。如图9所示,多个直杆620中的每一个具有近端622和远端624。多个直杆620中的每一个还包括近端部626,该近端部626被配置为磁耦合至磁性壳体630,并且在磁性壳体630内升高和降低直杆620。每个直杆620的远端624充当沉积室120和/或腔体150内反应器化学成分的阻气门或阀门。根据示例性实施方式,在每个直杆620的远端624升高或上升时,从沉积室120和/或腔体150经由排放通道180释放反应器化学成分和/或净化气体到内腔体640。
本文中还公开了一种在处理设备中制造半导体衬底的方法。所述方法包括从反应器化学成分源供应反应器化学成分到沉积室中,并且在等离子体处理室中处理半导体衬底。所述方法优选地包括对衬底进行等离子体处理,其中使用在沉积室中产生等离子体的射频发生器将射频能量供应到反应器化学成分。
当本说明书中结合数值使用词语“约”时,其意思是相关数值包括指称的数值上下±10%的公差。
此外,当结合几何形状使用词语“一般”、“较为”和“基本上”时,其意思是不要求几何形状的精度,但是该形状的界限在本发明的范围内。当与几何术语一起使用时,词语“一般”、“较为”和“基本上”旨在不仅包括严格定义的这些特征,而且包括相当接近严格定义的这些特征。
虽然参照具体实施方式详细描述了包括等温沉积室的等离子体处理设备,但是本领域的技术人员应明白在不脱离所附权利要求书的范围的情况下可以进行多种变更和修改并且采用等同方案。

Claims (19)

1.一种控制化学沉积设备的腔体内的导通的方法,包括:
在所述化学沉积设备的所述腔体内处理衬底,所述腔体形成在喷头模块与被配置为接收所述衬底的衬底基座模块之间,其中所述喷头模块包括输送反应器化学成分到所述腔体的多个入口和从所述腔体清除反应器化学成分和净化气体的排放出口;
注射净化气体到所述腔体中;并且
使用经由所述排放出口流体连通所述腔体的至少一个导通控制组件来控制所述腔体的导通状态的变化,所述至少一个导通控制组件选自以下各项中的一个或多个:
(a)回转阀,所述回转阀包括:
上旋转板;以及
与所述上旋转板磁耦合的下旋转板,所述下旋转板具有多个导管,所述多个导管中的每一个被配置为从所述喷头模块内对应的抽排导管接收来自所述腔体的反应器化学成分,所述抽排导管流体连通一个或多个所述排放出口;和/或
(b)磁耦合线性阀,所述磁耦合线性阀包括:
磁性壳体;以及
多个直杆,所述多个直杆被配置为在多个通道内磁致上升和下降,所述多个直杆中的每一个具有近端部和远端部,所述近端部被配置为磁耦合所述磁性壳体,从而在所述磁性壳体内升高和降低所述多个直杆,所述远端部充当用于从所述排放出口释放所述反应器化学成分和/或所述净化气体的阀门。
2.如权利要求1所述的方法,其包括:使用一个或多个抽排真空管道将所述至少一个导通控制组件连接到抽排设备。
3.一种化学沉积设备,其包括:
化学离析室;
形成在所述化学离析室内的沉积室;
具有面板和背板的喷头模块,所述面板包括输送反应器化学成分到腔体的多个入口和从所述腔体清除反应器化学成分的在所述入口的径向外侧的排放出口;以及
至少一个导通控制组件,其经由所述排放出口与所述腔体流体连通并且抽排设备通过一个或多个抽排真空管道流体连接到所述至少一个导通控制组件,所述至少一个导通控制组件包括回转阀,所述回转阀包括:
上旋转板;以及
与所述上旋转板磁耦合的下旋转板,所述上旋转板在大气中旋转,而所述下旋转板在真空中旋转,所述下旋转板具有多个导管,所述多个导管与介于所述上旋转板与所述下旋转板之间的充气室流体连通,所述充气室与所述一个或多个抽排真空管道流体连通,所述多个导管中的每一个被配置为从所述喷头模块内对应的抽排导管接收来自所述腔体的反应器化学成分,所述抽排导管流体连通一个或多个所述排放出口。
4.如权利要求3所述的设备,其包括:净化气体源,所述净化气体被供应到所述腔体以清除所述腔体的所述反应器化学成分。
5.如权利要求3所述的设备,其中所述上旋转板与所述下旋转板沿相同的方向旋转。
6.如权利要求3所述的设备,其中所述下旋转板内的所述多个导管中的每一个在所述下旋转板的下表面上具有入口并且在所述下旋转板的上表面上具有出口,并且所述多个抽排导管中的每一个还包括流体连通所述腔体的入口以及流体连通所述下旋转板的入口的出口。
7.如权利要求3所述的设备,其中所述下旋转板的每个出口流体连通内腔体,所述内腔体流体连通所述一个或多个抽排气体管道。
8.如权利要求3所述的设备,其包括:衬底基座模块,其被配置为支撑衬底并且垂直移动以关闭所述基座模块与所述面板的外部之间的所述腔体,并且其中所述至少一个导通控制组件是绕着所述衬底基座模块在周向上均匀间隔开的多个导通控制组件。
9.如权利要求8所述的设备,其中所述多个导通控制组件中的每一个被配置为流体连接两个或更多个排放出口。
10.如权利要求3所述的设备,其包括:
半导体衬底;并且
其中在所述衬底上进行以下处理中的至少一种:化学气相沉积、等离子体增强化学气相沉积、原子层沉积、等离子体增强原子层沉积、脉冲沉积层和/或等离子体增强脉冲沉积层。
11.如权利要求3所述的设备,其中所述排放出口是同心的排放出口。
12.如权利要求3所述的设备,其中所述至少一个导通控制组件具有最小至最大的导通范围,所述最小至最大的导通范围具有三个量级。
13.一种化学沉积设备,其包括:
化学离析室;
形成在所述化学离析室内的沉积室;
具有面板和背板的喷头模块,所述面板包括输送反应器化学成分到腔体的多个入口和经由从所述腔体的外边缘径向延伸的排放通道从腔体清除反应器化学成分的在所述入口的径向外侧的排放出口;以及
至少一个导通控制组件,其经由所述排放出口与所述腔体流体连通并且抽排设备通过一个或多个抽排真空管道流体连接到所述至少一个导通控制组件,所述至少一个导通控制组件包括磁耦合线性阀,所述磁耦合线性阀包括:
磁性壳体;以及
多个直杆,所述多个直杆被配置为在多个通道内磁致上升和下降,所述多个直杆中的每一个具有近端部和远端部,所述近端部被配置为磁耦合所述磁性壳体,从而在所述磁性壳体内升高和降低所述多个直杆,所述远端部充当用于从所述排放出口释放所述反应器化学成分和/或净化气体的阀门。
14.如权利要求13所述的设备,其包括:净化气体源,所述净化气体被供应到所述腔体以清除所述腔体的所述反应器化学成分。
15.如权利要求13所述的设备,其包括:衬底基座模块,其被配置为支撑衬底并且垂直移动以关闭所述基座模块与所述面板的外部之间的所述腔体,并且其中所述至少一个导通控制组件是绕着所述衬底基座模块在周向上均匀间隔开的多个导通控制组件。
16.如权利要求15所述的设备,其中所述多个导通控制组件中的每一个被配置为流体连接两个或更多个排放出口。
17.如权利要求13所述的设备,其包括:
半导体衬底;并且
其中在所述衬底上进行以下处理中的至少一种:化学气相沉积、等离子体增强化学气相沉积、原子层沉积、等离子体增强原子层沉积、脉冲沉积层和/或等离子体增强脉冲沉积层。
18.如权利要求13所述的设备,其中所述排放出口是同心的排放出口。
19.如权利要求13所述的设备,其中所述至少一个导通控制组件具有最小至最大的导通范围,所述最小至最大的导通范围具有三个量级。
CN202110249882.5A 2013-07-03 2014-07-03 具有导通控制的化学沉积设备 Active CN113186519B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/934,594 US9490149B2 (en) 2013-07-03 2013-07-03 Chemical deposition apparatus having conductance control
US13/934,594 2013-07-03
CN201410314732.8A CN104278253B (zh) 2013-07-03 2014-07-03 具有导通控制的化学沉积设备

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201410314732.8A Division CN104278253B (zh) 2013-07-03 2014-07-03 具有导通控制的化学沉积设备

Publications (2)

Publication Number Publication Date
CN113186519A true CN113186519A (zh) 2021-07-30
CN113186519B CN113186519B (zh) 2023-06-20

Family

ID=52133091

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201410314732.8A Active CN104278253B (zh) 2013-07-03 2014-07-03 具有导通控制的化学沉积设备
CN201910353875.2A Active CN110158061B (zh) 2013-07-03 2014-07-03 具有导通控制的化学沉积设备
CN202110249882.5A Active CN113186519B (zh) 2013-07-03 2014-07-03 具有导通控制的化学沉积设备

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201410314732.8A Active CN104278253B (zh) 2013-07-03 2014-07-03 具有导通控制的化学沉积设备
CN201910353875.2A Active CN110158061B (zh) 2013-07-03 2014-07-03 具有导通控制的化学沉积设备

Country Status (6)

Country Link
US (2) US9490149B2 (zh)
JP (2) JP6335688B2 (zh)
KR (3) KR102224889B1 (zh)
CN (3) CN104278253B (zh)
SG (2) SG10201403692RA (zh)
TW (2) TWI650444B (zh)

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI725067B (zh) * 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6756853B2 (ja) 2016-06-03 2020-09-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2019054189A (ja) 2017-09-19 2019-04-04 東芝メモリ株式会社 成膜装置および成膜方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR101994700B1 (ko) * 2017-09-28 2019-07-01 주식회사 유진테크 샤워헤드 및 기판처리장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) * 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
TW202338150A (zh) 2018-07-27 2023-10-01 美商應用材料股份有限公司 用於熱沉積的氣體分配板
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021195595A (ja) * 2020-06-15 2021-12-27 東京エレクトロン株式会社 シャワープレート及び成膜装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN116194616A (zh) * 2020-09-25 2023-05-30 朗姆研究公司 高温工艺用轴向冷却金属喷头
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
CA2308832A1 (en) * 1999-05-17 2000-11-17 Lawrence D. Bartholomew Gas distribution system
US6572924B1 (en) * 1999-11-18 2003-06-03 Asm America, Inc. Exhaust system for vapor deposition reactor and method of using the same
US20050263072A1 (en) * 2004-05-26 2005-12-01 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US20060000511A1 (en) * 2004-07-02 2006-01-05 Stephen Shade Flow control device and system
CN1896299A (zh) * 2005-07-13 2007-01-17 应用材料公司 具有可移去阳极的用于大面积衬底的改进磁控管溅射系统
US20090314309A1 (en) * 2008-06-19 2009-12-24 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
CN101663736A (zh) * 2007-04-16 2010-03-03 朗姆研究公司 用于晶片无电镀的流体处理系统和相关的方法
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20120034786A1 (en) * 2010-08-04 2012-02-09 Lam Research Corporation Plasma Processing Chamber with Dual Axial Gas Injection and Exhaust

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2422040A (en) * 1945-01-27 1947-06-10 Gen Electric Magnetic drive
US2481172A (en) * 1948-05-17 1949-09-06 Jesse D Staggs Magnetically driven fluidhandling device
US2669668A (en) * 1949-02-05 1954-02-16 Hermag Pumps Ltd Magnetically driven centrifugal pump
US3055391A (en) * 1959-06-16 1962-09-25 Jenkins Bros Valve
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS63228716A (ja) * 1987-03-18 1988-09-22 Fujitsu Ltd 気相成長装置
US4790258A (en) * 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
DE68914473T2 (de) * 1988-01-18 1994-07-28 Hitachi Ltd Drehbares Ventil.
US4825897A (en) * 1988-05-19 1989-05-02 Shade Stephen A Flow control valve
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5361053A (en) * 1993-10-07 1994-11-01 Unisia Jecs Corporation Super magnetostriction type actuator
JPH07263351A (ja) * 1994-03-22 1995-10-13 Hitachi Ltd 気相成長装置
US5488969A (en) * 1994-11-04 1996-02-06 Gas Research Institute Metering valve
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
DE19601541A1 (de) * 1995-01-27 1996-08-01 Seiko Seiki Kk In einer Vakuumumgebung einsetzbares Vertikaltransfersystem sowie dazugehöriges Absperrventilsystem
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5701043A (en) * 1996-09-09 1997-12-23 Razzaghi; Mahmoud High resolution actuator
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
ES2228111T3 (es) * 1998-10-08 2005-04-01 Camcon Limited Movimientos magneticos.
JP2001279450A (ja) * 2000-03-31 2001-10-10 Hitachi Kokusai Electric Inc 基板処理装置
US6531069B1 (en) * 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
KR100528561B1 (ko) * 2003-04-21 2005-11-16 엘지전자 주식회사 전자기력 구동 유량 제어 밸브 및 그의 제조방법과 이를이용한 열 교환 장치
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
EP1649076B1 (en) * 2003-06-27 2010-05-19 Sundew Technologies, LLC Apparatus and method for chemical source vapor pressure control
US7262536B2 (en) * 2003-08-11 2007-08-28 General Motors Corporation Gearless wheel motor drive system
SI21714A (en) * 2004-02-23 2005-08-31 Inst Jozef Stefan Procedure and device for measuring ultrahigh vacuum
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7227440B2 (en) * 2005-03-03 2007-06-05 Pratt & Whitney Canada Corp. Electromagnetic actuator
US7281700B2 (en) * 2005-04-12 2007-10-16 Tokyo Electron Limited Gate valve apparatus for vacuum processing system
US20070095283A1 (en) * 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080191155A1 (en) * 2007-02-09 2008-08-14 Intevac, Inc. Magnetically coupled valve actuator
JP5135856B2 (ja) * 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US8287647B2 (en) 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
WO2008149702A1 (ja) * 2007-05-31 2008-12-11 Tokyo Electron Limited 流体制御装置
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
GB0723827D0 (en) * 2007-12-06 2008-01-16 Kohler Mira Ltd Flow control valve
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP4815538B2 (ja) * 2010-01-15 2011-11-16 シーケーディ株式会社 真空制御システムおよび真空制御方法
JP2011174540A (ja) * 2010-02-24 2011-09-08 Tokyo Electron Ltd 真空排気用のボールバルブ及び真空排気装置
CN102906305B (zh) 2010-04-15 2016-01-13 诺发系统公司 气体和液体的喷射的方法和装置
CN102242349A (zh) * 2010-05-14 2011-11-16 亚树科技股份有限公司 可拆卸式进出气结构及其导电膜成膜装置
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
TW201309838A (zh) * 2011-07-12 2013-03-01 Asahi Glass Co Ltd 附積層膜之玻璃基板之製造方法
US8613267B1 (en) * 2011-07-19 2013-12-24 Lightsail Energy, Inc. Valve
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9212422B2 (en) * 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US20130153054A1 (en) * 2011-12-19 2013-06-20 Intermolecular, Inc. Combinatorial Processing Tool
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
KR20150042239A (ko) * 2012-08-08 2015-04-20 스미스 디텍션-워트포드 리미티드 입구 클로저 메커니즘
KR101308044B1 (ko) 2013-02-18 2013-10-22 주식회사 월드자석카플링 자석식 커플링장치
US20140241848A1 (en) * 2013-02-25 2014-08-28 Varian Semiconductor Equipment Associates, Inc. Electric switchable magnet slitvalve
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10217614B2 (en) * 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
CA2308832A1 (en) * 1999-05-17 2000-11-17 Lawrence D. Bartholomew Gas distribution system
US6572924B1 (en) * 1999-11-18 2003-06-03 Asm America, Inc. Exhaust system for vapor deposition reactor and method of using the same
US20050263072A1 (en) * 2004-05-26 2005-12-01 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US20060000511A1 (en) * 2004-07-02 2006-01-05 Stephen Shade Flow control device and system
CN1896299A (zh) * 2005-07-13 2007-01-17 应用材料公司 具有可移去阳极的用于大面积衬底的改进磁控管溅射系统
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
CN101663736A (zh) * 2007-04-16 2010-03-03 朗姆研究公司 用于晶片无电镀的流体处理系统和相关的方法
US20090314309A1 (en) * 2008-06-19 2009-12-24 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US20120034786A1 (en) * 2010-08-04 2012-02-09 Lam Research Corporation Plasma Processing Chamber with Dual Axial Gas Injection and Exhaust

Also Published As

Publication number Publication date
US9490149B2 (en) 2016-11-08
US20170009348A1 (en) 2017-01-12
JP6574020B2 (ja) 2019-09-11
CN110158061B (zh) 2021-06-18
KR102224889B1 (ko) 2021-03-08
TWI650444B (zh) 2019-02-11
KR20150004771A (ko) 2015-01-13
JP6335688B2 (ja) 2018-05-30
SG10201802646PA (en) 2018-05-30
SG10201403692RA (en) 2015-02-27
CN113186519B (zh) 2023-06-20
JP2018152577A (ja) 2018-09-27
KR102358027B1 (ko) 2022-02-08
CN104278253A (zh) 2015-01-14
CN110158061A (zh) 2019-08-23
CN104278253B (zh) 2019-05-31
JP2015015469A (ja) 2015-01-22
TWI676708B (zh) 2019-11-11
TW201512451A (zh) 2015-04-01
KR20210029176A (ko) 2021-03-15
TW201907051A (zh) 2019-02-16
US20150011095A1 (en) 2015-01-08
KR20220018530A (ko) 2022-02-15
KR102490167B1 (ko) 2023-01-18

Similar Documents

Publication Publication Date Title
CN110158061B (zh) 具有导通控制的化学沉积设备
TWI722871B (zh) 用於基板處理腔室之蓋及蓋組件套組
CN104250728B (zh) 具有气封的化学沉积腔室
CN107365976B (zh) 用于注射器至基板的空隙控制的装置及方法
CN107403714B (zh) 一种用于处理腔室的改进侧注入喷嘴设计
CN109075024B (zh) 微体积沉积腔室
US10781516B2 (en) Chemical deposition chamber having gas seal
CN104278254A (zh) 多充气室的双温喷头
TWI803753B (zh) 具有背側泵送的熱處理腔室蓋
KR102466667B1 (ko) 기판 적재대 및 기판 처리 장치
WO2019161109A1 (en) Plasma spreading apparatus and system, and method for spreading plasma in process ovens
KR20200033745A (ko) 적재 유닛 및 처리 장치
CN107403717B (zh) 一种用于处理腔室的改进侧注入喷嘴设计
KR20230151586A (ko) 가스 공급 유닛을 포함하는 기판 처리 장치 및 기판 처리 방법
WO2023239607A1 (en) Throttle valve and foreline cleaning using a microwave source

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant