CN1574229A - 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备 - Google Patents

喷淋头组合和具有喷淋头组合用于制造半导体装置的设备 Download PDF

Info

Publication number
CN1574229A
CN1574229A CNA2004100424749A CN200410042474A CN1574229A CN 1574229 A CN1574229 A CN 1574229A CN A2004100424749 A CNA2004100424749 A CN A2004100424749A CN 200410042474 A CN200410042474 A CN 200410042474A CN 1574229 A CN1574229 A CN 1574229A
Authority
CN
China
Prior art keywords
spray head
backing plate
guard shield
equipment
combination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100424749A
Other languages
English (en)
Other versions
CN100421214C (zh
Inventor
张根夏
刘致旭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jusung Engineering Co Ltd
Original Assignee
Jusung Engineering Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jusung Engineering Co Ltd filed Critical Jusung Engineering Co Ltd
Publication of CN1574229A publication Critical patent/CN1574229A/zh
Application granted granted Critical
Publication of CN100421214C publication Critical patent/CN100421214C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于制造一半导体装置的一设备的喷淋头组合,其包括:一具有进气口的垫板;一在其端部与该垫板组合的喷淋头,其中所述喷淋头具有多个孔;和一装配在喷淋头外围部分的子加热器。

Description

喷淋头组合和具有喷淋头组合用于制造半导体装置的设备
本申请案主张2002年5月22日申请的韩国专利申请案第2003-0032452号的利益,为本文中所述的全部目的,将申请案内容以引用的方式并入本文。
技术领域
本发明是关于一种用于制造半导体装置的设备,更具体而言,是关于一种喷淋头组合和一种具有所述喷淋头组合用于制造半导体装置的设备。
背景技术
液晶显示器(LCD)装置包括阵列基板、滤色基板及安插其中的液晶层,其通过使用液晶层的光学特性来传输光线,从而显示图像。
制造阵列基板和滤色基板是反复将薄膜沉积在透明基板例如玻璃基板上,然后将所述薄膜通过光刻法加以图案化。沉积或蚀刻薄膜是通过从处理腔室的上部分向下顺流的方法供给气相的原始材料而进行,而喷淋头组合被安置在基板上方,以均匀地将反应和原始气体分配在基板的上表面。喷淋头组合包括具有多个通孔的喷淋头。
近年来,等离子增强化学气体沉积(PECVD)方法被广泛地应用于沉积所述薄膜。PECVD方法使用高电压激发处理气体来形成等离子,从而因此增加处理气体之间的化学反应。
PECVD方法的薄膜沉积设备将参阅附图在下文中加以描述。
图1是阐述相关技术PECVD设备的示意图,而图2是图1中“A”部分的放大图。如图1和图2所示,PECVD装置包括处理腔室10,处理腔室与外部相隔离以形成反应空间。所述处理腔室10包括上盖12和腔室体14。上盖12与腔室体14之间安插有O-形环16,以使处理腔室10内部与外部相密封。
盖板22将上盖12与外部相隔离,且在盖板22的内部,穿过其内部安装有垫板34和喷淋头30。
来自外部气体供应器(未图示)的处理气体穿过气体管道(未图示),然后通过进气口70被喷射到垫板34下的空间内,进气口穿过垫板34的中心。经喷射的处理气体首先由垫板34下方的挡板(未图示)加以扩散,并且在挡板和垫板34的下面,处理气体通过喷淋头30的多个通孔32被均匀地喷向安置在基座60上的基板S的上表面。
将供给能量以激发经喷射的处理气体的射频(RF)动力源80连接至垫板34和喷淋头30,从而通过喷淋头30激活经喷射的处理气体,借此沉积薄膜。因此垫板34和喷淋头30用作上电极。
将腔室体14的侧面和上盖12的盖板22相组合,并如上所述,将O-形环16安插在腔室体14和上盖12的板盖22之间。将基座60安置在腔室体14中。将基座60隔离且朝向喷淋头30,将基板S置于基座60的上表面上。加热器62置于基座60中,并在沉积过程中将基座60上的基板S加热到适当温度以进行沉积。此外,基座60与地面相接,充当下电极。为防止处理材料沉积在基板S的边缘上,将边框64装配在基座60的上表面上并覆盖基板S的边缘。
排出口52形成在基座60的下腔室体14的下侧,以便在完成沉积后排放处理气体。
将处理气体喷洒到基板S上表面上并充当上电极的喷淋头30和垫板34在其边缘由螺栓42加以组合且相互进行电连接。将多个绝缘体44、46和48安插在外围部分(喷淋头30和垫板34在此组合)和侧盖20之间,以将喷淋头30和垫板34与侧盖20加以绝缘和将处理腔室内部保持为真空。将O-形环49插在绝缘体48和垫板34之间以及在绝缘体48和盖板20之间。
在相关技术PEVCE设备中,为通过对处理气体进行热分解从而将薄膜沉积在基板的上表面,基座60在加热器62的工作作用下被维持在约300至400摄氏温度中。因此,尽管喷淋头30与基座60间距大约10到30厘米,喷淋头30的温度同样会上升。
然而,因为处理腔室10的外壁会从喷淋头30的外围部分带走热,所以喷淋头30的外围部分和中心部分温度不相同,从而两处在温度上是不平衡的。意即,由于喷淋头30外围部分的温度损耗,所以喷淋头30外围部分的温度低于喷淋头30中心部分的温度。因此,因为处理气体不会随热分解而进行反应,所以在喷淋头30外围部分中,处理气体保持为粉末形状,这会引起颗粒。
特别的是,喷淋头30的外围部分通过其上表面接触垫板34的下表面,而且,如图2所示,喷淋头30的外围部分和垫板组合,厚度与其它部分例如中心部分相同。因此,从基座60传到喷淋头30外围部分的热会传到垫板34上,因此与中心部分相比,外围部分发生更多热损耗。
在这种状况下,绝缘体48与O-形环49可能会受到损坏,从而不能工作,其中绝缘体48插在垫板34和盖板22之间以对垫板34和盖板22进行电隔离,O-形环49安装在绝缘体48上及下方以维持处理腔室10内的真空条件。绝缘体48可由PEFE(聚四氟乙烯)制造。
因为根据各部分存在温度不平衡情况,在喷淋头30外围部分温度小于中心部分,所以从外部喷射的处理气体不会全部受到热分解,而且具有粉末形状,这会导致颗粒。这将污染处理腔室10的内部。因此,处理器10的清理周期增加,从而制造过程的生产率下降。
为了防止由于喷淋头30的热传递而引起垫板34的温度上升,可将垫板34的内部分与外面的热交换器连接以降低垫板34的温度。
然而,这会增加制造成本并引起控制所述设备的复杂性。而且,可能会损失通过介质传输到上电极(即垫板34和喷淋头30)的RF能量,因此可能会改变等离子,从而对所制造的装置产生不良影响。
此外,随着垫板34的温度的下跌,与垫板34接触的喷淋头30外围部分的温度也下降。处理气体仍不会反应且具有粉末形状。因为朝向喷淋头30的基座60具有不均匀温度,从而生成颗粒并且产生较差质量的装置。
同时,喷淋头30通常由铝制成,由于在从基座60和基座60上基板S散发的热的作用下,喷淋头30容易加以膨胀。近年来基板尺寸较大,因而喷淋头30尺寸增加,随着温度上升,大尺寸喷淋头30更加加以膨胀。
如上所述,因为各部分具有不同温度,所以喷淋头30的外围部分和中心部分之间温度膨胀存在差异,且中心部分热膨胀系数大于外围部分热膨胀系数。因此,喷淋头30的热转变率不同,而且喷淋头30可能会被扭曲变形。
因为螺栓42对喷淋头30外围部分和垫板34加以组合,而且外围部分的膨胀受到抑制,所以,由于各部分的热膨胀率不同,喷淋头30更会遭到扭曲。因此,充当上电极的喷淋头30的下表面和安置在基座60上表面上的基板S在每部分都不均匀,而且处理材料沉积在基板S上的比率也不相等。
最后,沉积薄膜的退化和颗粒的形成仍为实质上需要解决的问题。薄膜的退化及颗粒的形成是:由于热膨胀受到限制而引起外围部分改变;喷淋头30各部分的不同温度;及对垫板34的热传递而引起的。
发明内容
因此,本发明是关于一种喷淋头组合和一种具有所述喷淋头组合用于制造半导体装置的设备,所述设备大体上排除了由于相关技术的局限和不足引起的一个或多个问题。
本发明的优势是提供一种喷淋头组合和一种具有所述喷淋头组合的用于制造半导体装置的设备,所述设备最大限度地降低热膨胀诱发的变形且形成均匀特性的薄膜。
另一优势是提供一种喷淋头组合和一种具有所述喷淋头组合的用于制造半导体装置的设备,所述设备补偿由于喷淋头外围部分中的热损失而引起的热失衡。
另一优势是提供一种喷淋头组合和一种具有所述喷淋头组合的用于制造半导体装置的设备,所述设备最大限度降低从喷淋头到垫板的热传递。
另一优势是提供一种喷淋头组合和一种具有所述喷淋头组合的用于制造半导体装置的设备,所述设备抑制在喷淋头外围部分形成粉末和颗粒以提高生产率。
本发明的额外特点和优势将在下文描述中给出,部分特点和优势从描述中显而易见或可从本发明的实际操作中获知。本发明的目的和其它优势将通过书面描述和权利要求及附图中特别指出的结构得以实现和完成。述,用于制造半导体装置的设备的喷淋头组合包括:具有进气口的垫板;在其端部与垫板组合的喷淋头,其中喷淋头具有多个孔;及装配在喷淋头外围部分的子加热器。
在另一方面,用于制造半导体装置的设备包括:一腔室;在所述腔室中固持基板的基座;向所述腔室提供气体的喷淋头组合,其中喷淋头组合包括具有进气口的垫板、在端部和垫板组合的喷淋头、具有多个孔的喷淋头和装配在喷淋头外围部分的子加热器;及控制所述腔室内部压力的泵系统。
应理解为前文概述和下文详述内容为示范性及解释性的,其用于提供对本发明权利要求内容的进一步解释。
附图说明
附图用于提供对本发明的进一步理解且组成和构成本说明书的一部分,其说明本发明的实施例,并结合描述解释本发明的原理。
在图中:
图1为说明相关技术PECVD设备的示意图。
图2为图1“A”部分的放大图。
图3为显示根据本发明第一实施例用于制造半导体装置的PECVD设备的示意图。
图4为图3“B”部分的放大图。
图5为说明根据本发明第一实施例由于从基座传递的热的作用而膨胀的喷淋头的截面图。
图6为说明根据本发明第二实施例的PECVD设备的横断面示意图。
图7为图6“B”部分的放大图。
图8A为本发明子加热器垂直切割图,而图8B为沿图8A中VIII-VIII线的横断面视图。
图9A至9D为显示将子加热器插入根据本发明喷淋头上表面过程的视图。
图10为说明符合本发明第三实施例的一部分喷淋头组合的视图。
具体实施方式
现将对附图中说明的本发明说明性实施例进行详细参阅。只要可能,将在所有图纸中使用相同参阅号来指示相同或类似部件。
图3为显示符合本发明第一实施例用于制造半导体装置和例如沉积薄膜的PECVD设备的示意图。
在图3设备中,在处理腔室100内执行薄膜沉积过程,处理腔室100与外部相隔离且在其中形成具有真空条件的反应空间。其包括上盖112和腔室体114。将例如O-形环等密封材料116安插在上盖112和腔室体114之间,以使处理腔室100内部和外部相密封。
盖板122将上盖112与外部相隔离,并且在盖板122内,穿过其内部装配有垫板134和喷淋头130。
来自外部气体供应器的处理气体穿过气体管道(未图示),然后通过穿过垫板134中心的进气口170被喷射到垫板134下面的空间内。经喷射的处理气体首先经垫板134下面的挡板(未图示)加以扩散,然后通过喷淋头130的多个通孔132均匀地喷向放置在基座160上的基板S的上表面。
将供给能量以激发经喷射的处理气体的射频(RF)动力源180连接到垫板134和喷淋头130上,通过将经喷射的处理气体激活穿过喷淋头130而生成等离子,由此沉积薄膜。因此,垫板134和喷淋头130用作上电极。
将腔室体114的侧面与上盖112的盖板122组合起来,且如上所述,将密封材料116安插在腔室体114和上盖112的盖板122之间。将基座160放置在腔室体114内。将基座160隔离并朝向喷淋头130,且将基板S安置在基座160的上表面上。将加热器162置于基座160内,并在沉积过程中将基座160上的基板S加热到适当温度以进行沉积。此外,将基座160接地,将其用作下电极。为防止处理材料沉积在基板S的边缘和处理腔室100的侧壁上以及将基板S紧密地粘附在基座160上,边框164被装配在基座160的上表面上及基板S的侧面,并使的覆盖基板S的边缘。
将提升工具(未图示)连接到基座160的下部并根据将基板S载入和卸出处理腔室100而将基座160上下移动。
将排出口152形成于基座160下腔室体114的下侧,以在完成沉积过程时将处理气体排放到外部。
图4是图3“B”部分的放大图,其显示了符合第一实施例的喷淋头的外围部分。
在图4中,与具有多个通孔132的喷淋头130的中心部分相比,与垫板134的连接部分135b相组合的喷淋头130的端部131a具有薄板形,意即水平方向薄而长的外形。因此,在端部131a中,喷淋头130的下表面接近其上表面。虽然喷淋头130的端部131a高于喷淋头130的中心部分,但是可改变端部131a的位置。
如果喷淋头130的端部131a具有薄而长的外形,那么喷淋头130的端部131a和垫板134的连接部分135b组合可能不稳固。为稳固地组合喷淋头130和垫板134,在喷淋头130端部131a下装配紧固条138,用其来支撑喷淋头130的端部131a。
用连接工具142例如螺栓将垫板134的连接部分135b、喷淋头130的端部131a和紧固条138组合起来并进行电连接。
有利的是,在与垫板134的连接部分135b相组合的喷淋头130的端部131a内,将凹面131c形成在喷淋头130的上表面。然后,在喷淋头130的端部131a和凹面131c之间形成垂直部分131b,将连接喷淋头130的端部131a和凹面131c。
将垂直部分131b与紧固条138间隔开,以使凹面131c向外部膨胀。
将多个绝缘体144、146和148安插在外围部分(喷淋头130和垫板134在此组合)和侧盖120之间,以将喷淋头130和垫板134与侧盖120加以电隔离,而且将处理腔室100内部保持为真空。例如,为防止在侧盖120和上电极(即喷淋头130和垫板134)之间生成等离子,在垫板134的连接部分135b、喷淋头130的端部131a及紧固条138的外部形成陶瓷绝缘体144,从而将上电极从电力上和侧盖120相隔离。沿端部131a和陶瓷绝缘体144下紧固条138的下表面安置陶瓷膨胀部件146,并使的穿过侧盖120的部分下表面。将PTFE(聚四氟乙烯)绝缘体148安置在垫板134的端部135a和侧盖120之间,并电隔离垫板134的端部135a和侧盖120。在PTFE绝缘体148和垫板134的端部135a之间及PTFE绝缘体148和侧盖120之间插入O-形环149以使处理腔室100与外部相隔离而保持真空条件。
图5为说明符合本发明第一实施例由于基座热传递而膨胀的喷淋头横断面视图。如图5中所示,在与垫板134的连接部分135b组合的端部131a内,在喷淋头130上表面上形成凹面131c。如果喷淋头从基座(未图示)中吸热,那么凹面131c会朝外膨胀。因此,既使由于各部分的热失衡而存在热膨胀差异,包括端部131a的喷淋头130的外围部分也不会扭曲变形。因此,可均匀地控制整个基板区域内基板的上表面上的沉积速率。
特别是,因为安插在凹面131c和喷淋头130的端部131a之间的垂直部分131b与紧固条138相隔离,所以垂直部分131b可自然地向外膨胀。因此,由于热应力引起的喷淋头130变形得以有效地控制,并且因为与垫板134连接的端部131a未受到喷淋头130膨胀力的影响,所以大大地减少了在端部131a周围的摩擦。
同时,喷淋头130的外围部分中的热能(其为从基座(未图示)和基板(未图示)辐射到喷淋头130的热能)通过喷淋头130的端部131a被传递到垫板134上。在本发明中,因为喷淋头130的端部131a对于喷淋头130的中心部分具有薄板外形,因此极大地减小了传递到垫板134上的热量,并有效地制止了对垫板134的热传递。在本发明中,尽管未使用热交换器,但可降低垫板134的温度,并且不受损坏PTFE绝缘体148和O-形环149。
图6是说明符合本发明第二实施例的PECVD设备的横断面示意图,图7为图6“B”部分的放大图。可忽略与第一实施例相同的部分的膨胀。
在图6和图7中,因为通常喷淋头130的外围部分131的温度低于喷淋头130的中心部分的温度,因此将子加热器200装配在喷淋头130的外围部分131内,以增加喷淋头130的外围部分131的温度。将子加热器200插入形成于位于喷淋头130外围部分131内的喷淋头130的上表面上的沟槽130a中,并穿过垫板134和喷淋头130上的上盖板122以与处理腔室100外的动力源(未图示)相连接。有利的是,在子加热器200分别穿过的上盖板122和垫板134的上表面上安装子加热器紧固体212和密封托架214来安装子加热器200。
子加热器200包括加热管道202、第一护罩204和第二护罩206。将加热管道202安置在第一护罩204内,且第一护罩204为第二护罩206所包围。意即,第一护罩204形成于加热管202的外而第二护罩206形成于第一护罩204的外。可将第一和第二护罩204和206分为两层。
第一护罩204比加热管道202短,而第二护罩206比第一护罩204短。因此,第一护罩204从喷淋头130中穿过垫板134和上盖板122,而第二护罩206从喷淋头130中只穿过垫板。然而,可改变第一护罩204和第二护罩206。
图8A为本发明子加热器的垂直切割图,图8B为沿图8A的VIII-VIII切割的横断面图。在图8A和图8B中,如上所述,子加热器200包括中心的加热管道202、依次包围加热管道202的第一护罩204和第二护罩206。第一护罩204和第二护罩206可分为两层,意即,内部的绝缘芯204a和206a及外部的金属鞘204b和206b。金属鞘204b和206b可用相同材料制成或可用不同材料制成。第一护罩204的金属鞘204b以不锈钢制造而第二护罩206的金属鞘206b以铝制造较好。加热管道202可以镍或镍铬铁合金制成,而绝缘芯204a和206a可以氧化镁(MgO)制成。
弯曲子加热器200并将子加热器200的下部分插入图6的喷淋头130中。意即,将子加热器200的下部分安置在图7喷淋头130的沟槽130a中。子加热器200的上部分穿过图6中的垫板134和上盖板122。
图9A至9D显示将子加热器插入符合本发明的喷淋头的上表面的过程。
在图9A中,沟槽130a形成在喷淋头130的上表面上其一端的外围部分131内。沟槽130a可沿喷淋头130的外围部分131形成,其可具有正方形状。每个沟槽130a可形成于喷淋头中心部分的两侧,且相互面对。有利的是中心部分两侧的凹面130可相互间隔。
在图9B中,子加热器200被插于沟槽130a中。如果形成若干沟槽130a,使的相对于中心部分相互面对,那么可将若干子加热器200分别插入沟槽130a中。在此种状况下,喷淋头130外围部分131上的温度会更为均匀。
在图9C中,将铝条220放置在沟槽130a中的子加热器200上,并与沟槽130a的上区和外围区相焊接(图9D,230)。因此,除在加热器200穿过图7中垫板134和上盖板122的区域外,子加热器200不会曝露在喷淋头130的外部。
因此,在本发明中,因为将子加热器装配在喷淋头外围部分(喷淋头在此处与垫板组合)内的上表面上,所以既使相对于中心部分来说降低了喷淋头外围部分的温度,但喷淋头外围部分的温度增加了。从而阻止了颗料的形成,而且由于中心部分和外围部分的热膨胀速率大大致相等,所以喷淋头的热应力得以控制。
图10为说明根据本发明第三实施例的一部分喷淋头组合的视图。与图6和图7第二实施例不同,第三实施例的喷淋头组合具有一外围,其中喷淋头130和垫板134相互组合。
意即,在第三实施例中,如第一实施例中所述,喷淋头130的外围部分131a具有薄而长的外形,并且如第二实施例中所述,将子加热器200插在喷淋头130外围部分131a的内部。由此补偿外围部分131a低于喷淋头130中心部分的温度,并因此阻止由于不同热膨胀速率而导致喷淋头130外围部分131a扭曲变形。
因此,符合第三实施例的喷淋头可同时解决各种问题,例如薄膜的不均匀沉积和由于变形和喷淋头组合外围温度下降而导致污染物的形成。
通过控制喷淋头各部分的热损耗差异引起的热失衡,本发明PECVD设备的喷淋头具有下列优势。
第一,补偿了喷淋头外围部分(其温度低于中心部分的温度)中的热损耗,以及抑制了粉末和颗粒的形成。因此,由于清理周期频率更短,所以更大地提高了生产率。
第二,当随着基板尺寸越来越大从而喷淋头具有大尺寸时,尽管喷淋头的温度上涨,喷淋头仍可朝侧方向膨胀而不会扭曲变形。因此,在所有区域中,基板和喷淋头之间的距离是均匀的,从而形成均匀薄膜。
第三,因为具有薄板外形的喷淋头的尾端最大限度地降低从喷淋头到垫板的热传递,所以不须要热交换器。从而降低设备的费用,并且因为降低了喷淋头外围部分中的热损耗,从而在所有区域中维持了热平衡。
因为最大限度地降低了由于喷淋头各部分和基座的不同温度而导致的基板温度失衡,从而基板边缘温度不平稳和基板倾向热膨胀得到了抑制。因此,薄膜得以均匀地沉积于基板的各区域,从而获得上等薄膜。
对于所属领域的技术人员来说,显而易见可在本发明的制作和应用中进行各种修改和变更而不会脱离本发明的精神或范畴。因此,只要对本发明所作的修改和变更属于在附属权利要求书和其均等物范围的内,那么该等修改和变更为本发明所涵盖。

Claims (19)

1.一种用于制造一半导体装置的一设备的喷淋头组合,其特征在于:所述喷淋头组合包括:
一具有一进气口的垫板;
一在所述垫板端部与所述垫板组合的喷淋头,所述喷淋头具有多个孔;和
一装配在所述喷淋头的一外围部分的子加热器。
2.如权利要求1所述的喷淋头组合,其特征在于:所述子加热器穿过所述垫板。
3.如权利要求1所述的喷淋头组合,其特征在于:所述子加热器包括一加热管道,一包围所述加热管道的第一护罩,和一包围第一鞘的第二护罩。
4.如权利要求3所述的喷淋头组合,其特征在于:所述第一和第二护罩中的每一个均由一绝缘芯和一金属鞘组成。
5.如权利要求4所述的喷淋头组合,其特征在于:所述绝缘芯包括氧化镁。
6.如权利要求4所述的喷淋头组合,其特征在于:所述第一护罩的金属鞘可由不锈钢形成。
7.如权利要求4所述的喷淋头组合,其特征在于:所述第二护罩的金属鞘可由铝形成。
8.如权利要求3所述的喷淋头组合,其特征在于:所述第一护罩短于所述加热管道,而所述第二护罩短于所述第一护罩。
9.如权利要求1所述的喷淋头组合,其特征在于:所述喷淋头的端部比其中形成所述多个孔的部分更薄。
10.如权利要求9所述的喷淋头组合,其特征在于:所述喷淋头包括一位于所述端部与所述多个孔之间的凹面。
11.一种制造一半导体装置的设备,其特征在于:所述制造半导体装置的设备其包括:
一腔室;
一位于所述腔室内以将一基板固持在其上的基座;
一向所述腔室提供气体的喷淋头组合,所述喷淋头组合包括:
一具有一进气口的垫板;
一在其端部与所述垫板组合的喷淋头,所述喷淋头具有多个孔;和
一装配在所述喷淋头的一外围部分的子加热器;和
一控制所述腔室的内部压力的泵系统。
12.如权利要求11所述的设备,其特征在于:所述子加热器穿过所述垫板和所述腔室的一盖板。
13.如权利要求11所述的设备,其特征在于:所述子加热器包括一加热管道,一第一护罩和一第二护罩,所述第一护罩包围所述加热管道,所述第二护罩包围所述第一鞘。
14.如权利要求13所述的设备,其特征在于:所述第一护罩和第二护罩中的每一个均由一绝缘芯和一金属鞘构成。
15.如权利要求14所述的设备,其特征在于:所述绝缘芯包括氧化镁。
16.如权利要求14所述的设备,其特征在于:所述第一护罩的金属鞘可由不锈钢形成。
17.如权利要求14所述的设备,其特征在于:所述第二护罩的金属鞘可由铝形成。
18.如权利要求11所述的设备,其特征在于:所述喷淋头的端部比在其中形成所述多个孔的部分更薄。
19.如权利要求18所述的设备,其特征在于:所述喷淋头包括一位于所述端部和所述多个孔之间的凹面。
CNB2004100424749A 2003-05-22 2004-05-21 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备 Expired - Fee Related CN100421214C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR200332452 2003-05-22
KR1020030032452A KR100965758B1 (ko) 2003-05-22 2003-05-22 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR2003-32452 2003-05-22

Publications (2)

Publication Number Publication Date
CN1574229A true CN1574229A (zh) 2005-02-02
CN100421214C CN100421214C (zh) 2008-09-24

Family

ID=33550141

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100424749A Expired - Fee Related CN100421214C (zh) 2003-05-22 2004-05-21 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备

Country Status (4)

Country Link
US (1) US20050000430A1 (zh)
KR (1) KR100965758B1 (zh)
CN (1) CN100421214C (zh)
TW (1) TWI355674B (zh)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100405537C (zh) * 2005-12-07 2008-07-23 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应装置
CN101113517B (zh) * 2006-07-26 2011-11-23 周星工程股份有限公司 衬底处理设备
CN101888736B (zh) * 2004-07-09 2012-06-13 周星工程股份有限公司 气体分配器和包括气体分配器的设备
CN101636813B (zh) * 2007-03-12 2013-02-27 艾克斯特朗股份公司 具有改善的处理能力的等离子体系统
CN103320852A (zh) * 2013-06-14 2013-09-25 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
CN103403843A (zh) * 2011-03-04 2013-11-20 诺发系统公司 混合型陶瓷喷淋头
CN104278254A (zh) * 2013-07-03 2015-01-14 诺发系统公司 多充气室的双温喷头
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
CN107090575A (zh) * 2016-02-17 2017-08-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种均流装置及反应腔室
CN108277478A (zh) * 2012-05-29 2018-07-13 周星工程股份有限公司 基板加工装置及基板加工方法
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN109068901A (zh) * 2016-04-22 2018-12-21 三菱化学可菱水株式会社 喷淋头
CN109234708A (zh) * 2017-07-11 2019-01-18 三星显示有限公司 化学气相沉积设备和利用其制造显示设备的方法
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
CN110016656A (zh) * 2019-05-23 2019-07-16 深圳市华星光电技术有限公司 化学气相沉积腔室
CN110071057A (zh) * 2018-01-24 2019-07-30 应用材料公司 加热的陶瓷面板
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN111385955A (zh) * 2018-12-28 2020-07-07 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
CN112542370A (zh) * 2019-09-23 2021-03-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20070210182A1 (en) * 2005-04-26 2007-09-13 Spraying Systems Co. System and Method for Monitoring Performance of a Spraying Device
US20060237556A1 (en) * 2005-04-26 2006-10-26 Spraying Systems Co. System and method for monitoring performance of a spraying device
US20080000424A1 (en) * 2006-06-29 2008-01-03 Aviza Technology, Inc. Showerhead for a Gas Supply Apparatus
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US8733279B2 (en) * 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
KR100891614B1 (ko) * 2007-04-10 2009-04-08 주식회사 에스에프에이 평면디스플레이용 화학기상 증착장치
KR100970201B1 (ko) * 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
JP5285403B2 (ja) * 2008-04-15 2013-09-11 東京エレクトロン株式会社 真空容器およびプラズマ処理装置
KR100981039B1 (ko) * 2008-05-20 2010-09-10 주식회사 테스 가스 공급 어셈블리
WO2009144456A1 (en) * 2008-05-28 2009-12-03 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
KR200457817Y1 (ko) * 2009-12-28 2012-01-05 주식회사 케이씨텍 원자층 증착장치의 샤워헤드 유닛
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012021729B3 (de) 2012-11-05 2013-12-05 Universitätsklinikum Freiburg Vorrichtung zum Ablösen wandständiger Thromben aus einem Körpergefäß
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR102389078B1 (ko) * 2015-03-05 2022-04-22 주성엔지니어링(주) 기판 처리장치에 구비되는 샤워헤드
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10373810B2 (en) * 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
US20210238746A1 (en) * 2020-02-03 2021-08-05 Applied Materials, Inc. Showerhead assembly
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
CN114875387B (zh) * 2022-03-29 2023-11-17 江苏微导纳米科技股份有限公司 薄膜沉积装置及其布气机构
CN116759350B (zh) * 2023-08-22 2023-11-17 宁波润华全芯微电子设备有限公司 一种晶圆腔盖快拆装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4638150A (en) * 1984-07-19 1987-01-20 Raychem Corporation Modular electrical heater
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP4815724B2 (ja) * 2000-09-08 2011-11-16 東京エレクトロン株式会社 シャワーヘッド構造及び成膜装置
TWI334888B (zh) * 2000-09-08 2010-12-21 Tokyo Electron Ltd
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101888736B (zh) * 2004-07-09 2012-06-13 周星工程股份有限公司 气体分配器和包括气体分配器的设备
US8236134B2 (en) 2004-07-09 2012-08-07 Jusung Engineering Co., Ltd. Gas distributor and apparatus using the same
CN100405537C (zh) * 2005-12-07 2008-07-23 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应装置
CN101113517B (zh) * 2006-07-26 2011-11-23 周星工程股份有限公司 衬底处理设备
CN101636813B (zh) * 2007-03-12 2013-02-27 艾克斯特朗股份公司 具有改善的处理能力的等离子体系统
CN103403843A (zh) * 2011-03-04 2013-11-20 诺发系统公司 混合型陶瓷喷淋头
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
CN103403843B (zh) * 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
CN108277478A (zh) * 2012-05-29 2018-07-13 周星工程股份有限公司 基板加工装置及基板加工方法
CN108277478B (zh) * 2012-05-29 2020-03-20 周星工程股份有限公司 基板加工装置及基板加工方法
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
CN103320852A (zh) * 2013-06-14 2013-09-25 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN104278254B (zh) * 2013-07-03 2017-04-12 诺发系统公司 多充气室的双温喷头
CN104278254A (zh) * 2013-07-03 2015-01-14 诺发系统公司 多充气室的双温喷头
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
CN107090575B (zh) * 2016-02-17 2019-04-23 北京北方华创微电子装备有限公司 一种均流装置及反应腔室
CN107090575A (zh) * 2016-02-17 2017-08-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种均流装置及反应腔室
CN109068901A (zh) * 2016-04-22 2018-12-21 三菱化学可菱水株式会社 喷淋头
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11101164B2 (en) 2016-12-14 2021-08-24 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN109234708B (zh) * 2017-07-11 2022-06-03 三星显示有限公司 化学气相沉积设备和利用其制造显示设备的方法
CN109234708A (zh) * 2017-07-11 2019-01-18 三星显示有限公司 化学气相沉积设备和利用其制造显示设备的方法
US11302517B2 (en) 2017-07-11 2022-04-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same
US11842883B2 (en) 2017-07-11 2023-12-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN110071057A (zh) * 2018-01-24 2019-07-30 应用材料公司 加热的陶瓷面板
CN111385955A (zh) * 2018-12-28 2020-07-07 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
CN110016656B (zh) * 2019-05-23 2020-11-24 深圳市华星光电技术有限公司 化学气相沉积腔室
CN110016656A (zh) * 2019-05-23 2019-07-16 深圳市华星光电技术有限公司 化学气相沉积腔室
CN112542370A (zh) * 2019-09-23 2021-03-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件
CN112542370B (zh) * 2019-09-23 2024-04-05 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件

Also Published As

Publication number Publication date
TWI355674B (en) 2012-01-01
TW200504800A (en) 2005-02-01
CN100421214C (zh) 2008-09-24
KR20040100196A (ko) 2004-12-02
KR100965758B1 (ko) 2010-06-24
US20050000430A1 (en) 2005-01-06

Similar Documents

Publication Publication Date Title
CN1574229A (zh) 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备
CN1165969C (zh) 等离子体处理装置
EP1475460A1 (en) Anodized substrate support
CN1144896C (zh) 等离子体处理装置
CN1608305A (zh) 用于等离子室的悬挂式分气歧管
CN1038948C (zh) 用等离子体cvd涂敷或处理衬底的方法及装置
KR100229949B1 (ko) 가스소스분자선 에피택시장치
CN1752281A (zh) 制程处理室中阴极的射频接地
KR101046043B1 (ko) 노용 다중 구역 히터
CN1319247A (zh) 低污染、高密度等离子蚀刻腔体及其加工方法
CN1312954A (zh) 用于等离子加工的弹性接合部件及其制造方法和其应用
CN1791972A (zh) 等离子体处理装置
JP2005051200A5 (zh)
KR19980033001A (ko) 화학 증착 플라즈마 반응기에서의 면판 열 초크
CN1670920A (zh) 真空处理装置
CN1849034A (zh) 等离子体处理装置、狭缝天线和等离子体处理方法
CN1364203A (zh) 多晶硅化学气相沉积方法和装置
CN1638026A (zh) 衬底处理器件及其清洗方法
CN1288276C (zh) 蒸发装置
US7381926B2 (en) Removable heater
US20210130953A1 (en) Process kit for improving edge film thickness uniformity on a substrate
CN108411362B (zh) 腔室及外延生长设备
CN1898783A (zh) 等离子处理装置
JP2701242B2 (ja) プラズマcvd装置用電極構造
JP4890313B2 (ja) プラズマcvd装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
EE01 Entry into force of recordation of patent licensing contract

Assignee: Guodian Technology & Environment Co., Ltd.

Assignor: Chusung Engineering Co., Ltd.

Contract record no.: 2012990000019

Denomination of invention: Showerhead assembly and apparatus for manufacturing semiconductor device having the same

Granted publication date: 20080924

License type: Exclusive License

Open date: 20050202

Record date: 20120118

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080924

Termination date: 20150521

EXPY Termination of patent right or utility model