KR19980033001A - 화학 증착 플라즈마 반응기에서의 면판 열 초크 - Google Patents

화학 증착 플라즈마 반응기에서의 면판 열 초크 Download PDF

Info

Publication number
KR19980033001A
KR19980033001A KR1019970053881A KR19970053881A KR19980033001A KR 19980033001 A KR19980033001 A KR 19980033001A KR 1019970053881 A KR1019970053881 A KR 1019970053881A KR 19970053881 A KR19970053881 A KR 19970053881A KR 19980033001 A KR19980033001 A KR 19980033001A
Authority
KR
South Korea
Prior art keywords
grooves
face plate
showerhead
gas
circular
Prior art date
Application number
KR1019970053881A
Other languages
English (en)
Other versions
KR100492135B1 (ko
Inventor
쉬레이버 알렉스
자오 준
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19980033001A publication Critical patent/KR19980033001A/ko
Application granted granted Critical
Publication of KR100492135B1 publication Critical patent/KR100492135B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 플라즈마 보강 화학 증기 증착용 반응기에 관한것으로서, 이것은 CVD 증착되는 웨이퍼에 면하고 웨이퍼를 향한 제트 처리 가스를 위한 다수의 제트 홀을 구비한 샤워헤드 전극을 갖추고 있으며, 2개의 깊은 그루브가 상기 제트 홀을 포함하는 샤워헤드의 면적 둘레에서 형성되고, 이 그루브들이 샤워헤드의 대향 측면으로부터 형성되고 그리고 서로로부터 반경방향으로 오프셋되어 있어서, 상기 샤워헤드의 본체내에서 그루브들사이에서 얇은 벽을 형성하고, 얇은 벽이 열 초크로서 작용하여, 이에따라 샤워헤드의 지지체에 대해 열 유동이 감소되고, 그리고 또한 상기 샤워헤드의 면을 가로질러 온도 분포를 더 균일하게 하며, 상기 얇은 벽은 기계식 벨로우즈로서 작용하여 상기 샤워헤드와 그 지지체사이에서 열 팽창차이를 수용한다.

Description

화학 증착 플라즈마 반응기에서의 면판 열 초크
본 발명은 반도체 직접 회로와 같은 기판의 플라즈마 처리를 위한 장치, 특히, 화학 증기 증착을 위한 플라즈마 반응기에서의 가스 유동내에 포함된 성분에 관한 것이다.
화학 증기 증착(CVD)은 반도체 집적 회로 및 기판상에 형성된 다른 층 구조물을 제조하는데 널리 공지된 방법이다. CVD에서, 반도체 웨이퍼 또는 다른 기판은 진공 챔버 내면에서 감소된 압력에서 선구 가스에 노출된다. 선구 가스는 웨이퍼의 표면에서 반응하고 그리고 웨이퍼상에 성분을 증착시킨다. 예를들어, 실란(SiH4)은 실리콘을 증착하기 위해 선구 가스로서 종종 사용되고 그리고 TEOS(테트라에틸올소실리케이트)가 실리콘 이산화물에 대해 종종 사용된다. 이 반응을 진행시키는데는 2개의 주요한 방법이 있다. 만약 웨이퍼가 충분한 온도로 가열된다면, 반응은 열적으로 활성화된다. 그러나, 많은 경우에 있어서, 열 활성화의 효율에 대해 필요한 온도는 매우 높은 것으로 고려되고 있다. 다른 방법, 소위 플라즈마 보강된 CVD 또는 PECVD에서, 선구 가스를 플라즈마 여기시키는데는 전기 수단이 사용된다. 플라즈마는 선구 가스 및 그 성분의 이온 및/또는 라디컬을 생성하고 그리고 이들은 매우 용이하게 반응한다. 이에따라, 웨이퍼의 온도는 매우 낮게 유지될 수 있다.
PECVD 반응 챔버의 실례는 본원에 참조된 즈하오(Zhao)등의 미국특허 제 5,587,171호에 기술되어있다. 이 타입의 CVD반응기는 DxZ 챔버란 이름으로 미국, 캘리포니아, 산타클라라, 어플라이드 머티어리얼스 인코포레이티드에서 시판되고 있다. 이 특허의 CVD반응기는 도 1에 단면도로 예시하였다. 예시되지 않은 웨이퍼는 받침대(10)상에서 처리하는 동안 지지되는데, 이 받침대는 하부 챔버 본체(14)와 하부 챔버 본체(14)의 내측의 세라믹 링(16)에서 슬릿 밸브 개구(12)를 통해 진공 챔버 안팎으로 웨이퍼를 장착하고 그리고 인출하도록 하강시킬수 있다.
증착하는 동안, 선구 가스(18)는 중앙 공급 분배 장치를 통해 웨이퍼를 덮도록 유동되고 그리고 알루미늄과 같은 전도성 금속으로 구성된 면판(22)내의 다수(수천개)의 제트 홀(20)을 통해 유동된다. 상기 가스 분배 장치는 쉬나이더(Schneider)등의, 원뿔형 돔을 갖춘 유도되게 연결된 평행판 플라즈마 반응기란 명칭의 1996년 10월 18일자로 제출된 미국 특허에 기술되어있다. 제트 홀(20)을 포함하는 면판(22)의 전면 부분은 샤워헤드(24)로 명명된다. 예시된 바와 같이, 처리하는 동안, 샤워헤드(24)는 웨이퍼에 접하여 대향되고 그리고 그것의 구멍이 뚫린 면적은 실질적으로 웨이퍼의 면적과 동일 공간으로 연장된다. 처리 가스는 샤워헤드 홀(20)을 통해, 웨이퍼를 거쳐, 그리고 이어서 일반적으로 반경방향으로 외향되어 환형 펌핑 채널(26)로 유동되어, 일반적으로 처리하는 동안 받침대(10)의 상부 에지를 에워싼다. 소모 가스는 펌핑 채널(26)내의 제한부(28)를 통해 배기 매니폴드(29)로 배기된다. 밸브(30)는 예시되지 않은 진공 펌프 장치에 의해 펌핑된 배출부(32)로 배출물을 위한 통로를 제공한다.
면판(22)과 관련 부품은 O링(36)에 의해 하부 챔버 본체(14)에 대해 밀봉된 뚜껑 구조물(34)에서 유지된다. 뚜껑 구조물(34)은 사용자들이 챔버 내부를 수리할수 있도록 예시되지 않은 수평 힌지둘레로 피봇팅시키고 그리고 이에따라 하부 챔버 본체(14)로부터 떨어져서 상승시킬 수 있다. 도시된바와 같이, 펌핑 채널(26)은 뚜껑 구조물(34), 하부 챔버 본체(14), 및 챔버 본체(14)상의 제 1 세라믹 링(16)을 통해 지지된 제 2 세라믹 링(38)사이에서 형성되지만, 펌핑 채널(26)은 주로 뚜껑으로 연장된다.
예시된 반응기는 플라즈마 반응기로서 사용된다. 받침대(10)는 전형적으로 접지되는 한편 면판(22)에 전기적으로 그리고 기계적으로 고정된 커버(40)는 RF동력 공급부(42)에 연결된다. 이에따라, 처리 공간(44)은 샤워헤드(24)와 받침대(10)으로 구성되는 RF 피구동 전극에 의해 에워싸여진다. 충분한 RF동력은 샤워헤드(24)와 받침대(10)사이의 처리 공간(44)내에 처리 가스가 웨이퍼 표면상에서 CVD반응을 활성화하기 위해 플라즈마에 대해 여기되도록 가해진다. 이에따라, 반응은 상대적으로 낮은 온도에서 수행될수있어서, 집적회로의 열에 대해 영향을 적게 형성한다.
하부 챔버 본체(14)는 통상적으로 알루미늄과 같은 금속으로 제조되지만, 안전을 이유로, 전기적으로 접지된다. 환형 절연체(46)는 전기적으로 접속되는, 하부 챔버 본체(14) 및 뚜껑 구조물(34)로부터 RF 피구동 면판(24)을 전기적으로 절연시킨다. 절연체(46)는 알루미나와 같은 세라믹 재료 또는 테프론과 같은 강한 플라스틱으로 형성되는데, 이들은 모두 우수한 전기 절연성을 제공한다.
도 1의 챔버는 200mm(8인치)의 웨이퍼에 대해 디자인되었다. 이들 챔버를 300mm(12인치)에 적합하도록 확대시킬 경우, 기초적인 디자인을 개선시켜야 할뿐만아니라 다른 문제를 발생시킨다.
도 1의 반응기에서는, 면판(22)과 그것의 샤워헤드(24)의 온도가 정확하게 제어되지 않는다. 받침대(10)는 저항 코일에 의해 유효하게 가열되지만, 그러나 면판(22)은 유효하게 가열되거나 또는 냉각되지 않는다. 샤워헤드(24)의 온도는 플라즈마에 의한 충돌 가열 및 받침대(10)에 의한 복사 가열 때문에, 약 200℃인 것으로 평가되었다. 온도는 받침대(10)와 샤워헤드(24)사이에서 균형을 유지하도록 하지만, 샤워헤드의 일부의 열은 면판(22)의 외부와 상부 부분을 통해 뚜껑 구조물(34)과 결국에는 하부 챔버 본체(14)로, 뿐만아니라 다른 주위에 부착된 부품으로 이동(sink)된다.
이러한 온도는 한계치가 아니더라도, 그러나, 그들은 면판(22), 뚜껑 구조물(34), 챔버 본체(14) 및 다른 부품을 밀봉하는 O 링 시일내에서 수명 및 신뢰성의 문제를 일으킨다. 이에따라 바람직하게는, 면판(22)의 뒷면에서 온도를 감소시킨다.
샤워헤드의 원주에서의 열 싱크는 적어도 2개의 연관된 문제를 야기시킨다. 실질적으로, 열 생성은 샤워헤드의 면적에 대해 균일하고 그리고 실질적으로 균일한 열 전도성을 갖는 통로를 거쳐 더 저온의 원주 면적으로 유동한다. 이 결과에 따라, 샤워헤드(24)의 중앙은 원주에 인접한 샤워헤드 부분에서 보다 온도가 더 높다. 반경 방향에서의 온도의 불균일성은 증착 속도의 균일성에 영향을 미치고 그리고 또한 열 응력을 샤워헤드(24)에 도입한다. 열 응력은 샤워헤드(24)가 굽혀지게 하고 그리고 처리 공간의 결과된 가변 갭 크기는 증착하는 동안 비 균일 플라즈마, 또는 비균일성의 다른 원을 도입한다. 이들 온도 불균일성은 보다 큰 웨이퍼 크기에서 악화된다.
본 발명은 통상적으로 다수의 가스 제트 홀을 포함하여 샤워헤드를 형성하는 면판의 중앙 면적을 에워싸는 2개의 외주 그루브를 갖추고 있는 플라즈마 반응기를 위한 면판을 제공한다. 그루브는 면판의 대향 면으로부터 형성되고, 측면의 평판에서 서로 오프셋되고 그리고 상기 그루브들사이에서 얇은 벽을 생성하도록 충분히 깊게 형성된다. 이 벽은 열 초크로서 작용하여, 지지체와 진공 시일로부터 샤워헤드를 보다 효과적으로 열적으로 절연하도록 한다. 또한 열 팽창을 수용하도록 기계적 벨로우즈로서 작용한다.
도 1은 종래기술의 CVD반응기의 단면도.
도 2는 본 발명의 한 실시예에 따른 CVD반응기의 일부분을 도시한 단면도.
* 도면의 주요 부분에 대한 부호의 설명 *
100 : 면판 102 : 샤워헤드
104 : 제트 홀 106 : 받침대
114 : 뚜껑 구조물 116 : 면판 플랜지
118 : L 형 환형 절연체 120, 122, 132, 134 : O 링 그루브
130 : 림
본 발명은 그것의 냉각기 지지체로부터 샤워헤드를 보다 우수하게 열적으로 절연하고 차이를 나타내는 열팽창을 수용하도록 면판의 샤워헤드 부분을 위한 열 초크 및 기계 벨로우즈를 제공한다. 예시된 반응기는 300mm의 웨이퍼를 위해 디자인하였지만, 도 1의 200mm 챔버의 많은 특징을 결합하고 있다.
신규한 면판(100)이 측면의 도 2이 단면도에 예시한바와 같이, 샤워헤드(102)는 단지 수개만을 개략적으로 예시한 다수의 제트 홀(104)을 포함한다. 샤워헤드(102)는 처리 면적(108)을 가로질러 받침대(106)와 면한다. 예시되지는 않았지만, 웨이퍼는 홀(104)을 통해 처리 면적(108)으로 분사된 처리 가스로부터 CVD증착을 위해 받침대(106)의 리세스(110)내에 지지된다. 예시되지는 않았지만, 전기 가열기가 웨이퍼를 최적 증착 온도로 가열하도록 받침대(106)내에 유지된다.
샤워헤드(102)를 포함하는 면판(100)은 샤워헤드(102)의 뒷면으로 반경방향으로 외향되어 연장되는 면판 플랜지(116)를 통해 뚜껑 구조물(114)상에서 지지된다. L형 환형 절연체(118)는 접지된 뚜껑 구조물(114)로부터 전기적으로 바이어스된 면판(100)을 전기적으로 절연시키도록 플레임 뚜껑(114)과 면판 플랜지(116) 사이에서 배치된다. O 링은 면판 플랜지(116), 절연체(116) 및 뚜껑 구조물(114)을 진공 밀봉하도록 2개의 O링 그루브(120, 122)에 맞추어진다. 가스 유입 매니폴드에 대한 커버(124)는 면판 플랜지(116)의 상부 측면상에 지지되고 그리고 O 링 그루브(126)에서의 O링에 의해 밀봉된다. 커버(124)는 면판에 전기적으로 그리고 기계적으로 고정되고 그리고 선택적으로는 RF 동력 공급부에 의해 전기적으로 바이어스된다. 뚜껑 구조물(114)은 O 링 그루브(128)내의 O 링에 의해 바닥 챔버 본체(14)에 대해 밀봉된다. 상술한바와 같이, 이들 O 링이 노출되는 온도를 감소시키는 것이 바람직하다.
원통형 행거 벽(130)은 샤워헤드(102)와 면판(116)사이에서 수직으로 연장되고 그리고 샤워헤드(102)보다 적은 두께를 갖는 수평으로 연장된 림(132)을 통해 샤워헤드(102)에 연결된다. 림(132)의 수평 범위는 도 1의 대응 부분보다 더 얇은 행거 벽(130)에 의해 수용된다.
열 초크와 팽창 벨로우즈는 제팅 홀(104)의 면적 외면에서 면판(100)의 림(130)에 형성된 2개의 깊은 원주 그루브(140, 142)에 의해 작동된다. 그루브(140, 142)는 서로로부터 반경방향으로 오프셋되고 그리고 림(130)의 대향면으로부터 림(130)의 두께의 반이상인 깊이로 기계 가공된다. 이 결과에 따라, 얇은 환형 벽(146)은 그루브(132, 134)사이에서 형성된다. 구조물의 기계 강도는 면판 림(132)와 외부 그루브(142)의 상단으로부터 바닥으로 내부 그루브(140)를 기계가공함에 의해 얇은 벽(146)을 응력상태에서 유지함에 의해 증가된다. 본 발명의 특정 실시예에 있어서, 그루브(140, 142)는 그들의 각각의 측면으로부터 림(132)을 통해 통로의 약 2/3까지 연장되고, 80밀(2mm)의 폭을 가지며, 그리고 얇은 벽(146)내에서 80밀(2mm)의 두께를 생성하도록 반경방향으로 오프셋된다. 그루브와 벽 폭에 대한 크기의 범위는 바람직하게는 40밀 내지 160밀(1 내지 4mm)이다.
이 구조는 열 초크와 팽창 벨로우즈에 의해 적어도 2개의 바람직한 작용을 달성한다. 샤워헤드(102)로부터 행거 벽(130)과 면판 플랜지(116)으로의 열 경로는 실질적으로 기계적 지지에 요구되는 면판(116)의 다른 부분의 두께보다 더 얇은 얇은 벽(146)을 통해 통과된다. 얇은 벽(146)을 통한 적은 열 통로는 이 얇은 벽(146)에서의 열 저항성이 크도록 하는데, 열 저항성은 샤워헤드(102)와 행거 벽(130) 및 면판 플랜지(116)보다 더 크다. 이 결과에 따라, 샤워헤드(102) 또는 행거 벽(146)과 면판 플랜지(116)의 조합물을 가로지르는 것보다 얇은 벽(146)을 가로지르는 것이 열 차이가 더 크게 개선된다. 이에따라, 샤워헤드(102)는 증착의 균일성을 촉진시키는 상대적으로 균일한 온도 분포를 개선시킨다. 또한, 보다 더 낮은 온도로 열 싱크된, 행거 벽(146)과 면판 플랜지(116)를 가로지르는 대응된 더 작은 온도 강하는 그루브(120, 122, 126, 및 128)에서의 O링이 샤워헤드(102)의 200℃이하의 온도에 노출되어 있다는 것을 의미한다.
샤워헤드(102)내의 더 균일한 온도 분포는 열 응력 차이가 더 작고 그리고 굽혀짐이 더 작다는 것을 의미한다. 굽혀짐은 웨이퍼를 가로지르는 증착의 불균일성의 다른 원인이 된다.
그루브(140, 142)둘레의 금속 면판(100)의 연속성은 용이한 핸들 부재를 제공하고 그리고 또한 처리 면적(108)내에서 플라즈마를 여기시키기 위해 RF동력이 가해지도록 면판 플랜지(116)로부터 샤워헤드(102)로의 전기 접촉을 위해 제공된다.
깊은 그루브(140, 142) 및 관련된 얇은 벽(146)에 의한 열 초크를 가로지르는 큰 온도 차이로 인해, 고온의 샤워헤드(102)는 냉각기 행거 벽(130)과 연관되어 팽창될 것이다. 그러나, 얇은 벽(146)은 그것의 두께 보다 더 긴 길이를 갖는다. 이에따라, 그것은 면판(100)의 반경 방향으로 휘어지고 굽혀져서, 열변형을 수용함과 동시에 샤워헤드(102)의 위치에 최소한으로 영향을 미친다. 즉, 2개의 그루브(140, 142)는 수직 지지 및 진공 밀봉을 제공할 뿐만아니라 수평 운동을 허용하도록 하는 기계 벨로우즈로서 작용한다. 열 응력하에서 샤워헤드(102)의 개선된 기계적 안정성은 플라즈마 및 증착 불균일성을 개선시킨다.
펌핑 채널(150)은 예를들어 절연체(122)의 뒷면에서 뚜껑 구조물(118), 하부 챔버 벽(14), 및 세라믹 링(16)에 의해 형성된다. 채널 라이너는 펌핑 채널(150)의 벽상에 배치될수 있어서, 이것의 정확한 배치는 증착 공정 및 가스 유동에 대해 최적화될수도 있다.
본 발명은 CVD반응기와 관련하여 기술하였지만, 면판의 유사한 디자인은 에칭 반응기, 특히 플라즈마 보강 에칭기에 적용될 수 있다.
이에따라, 본 발명은 열 구배와 기계적 변형을 완화함에 의해 증착을 더 균일하며, 기존 디자인을 간단히 변형시켜서 개선될 수 있다.

Claims (11)

  1. a) 원형 판과,
    b) 상기 원형 판의 중앙 원형 부분내로 상기 원형 판을 수직으로 통과하는 다수의 홀과, 그리고
    c), 상기 원형 부분을 에워싸는 제 1 및 제 2 원형 그루브로서, 서로 반경방향으로 오프셋되어 있고 그리고 상기 제 1 및 제 2 그루브 사이로 상기 원형 판내의 벽을 형성하도록 상기 원형 판을 통해 각각 절반이상을 관통하고 있는 제 1 및 제 2 원형 그루브를 포함하는 가스 면판.
  2. 제 1 항에 있어서, 환형 플랜지 및 상기 원형 판의 제 1 측면에 상기 플랜지를 연결시키는 벽을 더 포함하고, 상기 제 1 그루브는 상기 원형 판의 상기 제 1 측면상에 형성되고 그리고 반경방향으로 상기 제 2 그루브의 내부에 있는 가스 면판.
  3. 제 1 항에 있어서, 상기 그루브의 폭의 범위가 1 내지 4mm인 가스 면판.
  4. a) 진공 챔버와,
    b) 기판을 상기 진공 챔버내에 고정하는 받침대와,
    c) 상기 챔버의 한 측면상에 배치되고, 처리 가스가 받침대를 향하도록 관통되어 형성된 다수의 제트 홀을 갖추고 있는 가스 면판과, 그리고
    d) 상기 처리 가스를 가스 면판의 뒷면에 공급하도록 상기 받침대로부터 벗어나서 상기 면판의 측면상에 배치된 가스 분배 시스템을 포함하고,
    상기 가스면판은 적어도 2개의 그루브를 구비하는데, 이 그루브는 그들의 대향 측면에서 형성되고, 상기 면판의 평면내에서 서로로부터 오프셋되고, 그리고 상기 그루브의 길이를 따라 연장되는 상기 그루브들사이에서 벽을 형성하도록 상기 판을 통해 각각 충분한 거리로 연장되는 기판 처리 반응기.
  5. 제 4 항에 있어서, 상기 그루브들중 제 1 그루브는 상기 면판의 뒷면에 형성되고 그리고 상기 그루브들중 제 2 그루브와 상기 제트 홀사이에 배치되는 기판 처리 반응기.
  6. 제 4 항에 있어서, 상기 진공 챔버가 챔버 본체와 이 챔버 본체에 대해 해체가능하고 밀봉가능한 뚜껑을 구비하고, 그리고
    상기 면판이 상기 뚜껑에 부착되는 기판 처리 반응기.
  7. 제 6 항에 있어서, 상기 면판이 상기 뚜껑에 부착가능한 플랜지 및 상기 뚜껑에서 벗어나서 상기 플랜지로부터 상기 그루브와 상기 제트 홀을 구비하는 상기 면판의 일부분을 향해 연장되는 벽을 구비하는 기판 처리 반응기.
  8. 제 7 항에 있어서, 상기 그루브들 중 제 1 그루브가 상기 면판의 뒷면에 형성되고 그리고 상기 그루브들중 제 2 그루브와 상기 제트 홀사이에 형성된 기판 처리 반응기.
  9. 제 4 항에 있어서, 상기 제트 홀을 구비하는 상기 면판의 일부 면적과 상기 받침대사이의 처리 공간에서 플라즈마를 형성하도록 상기 면판과 상기 받침대사이를 연결할수 있는 RF동력 원을 더 포함하는 기판 처리 반응기.
  10. 제 4 항에 있어서, 상기 반응기가 플라즈마 보강 화학 증기 증착을 위해 배치되는 기판 처리 반응기.
  11. 제 4 항에 있어서, 상기 그루브와 상기 벽의 폭의 범위가 1 내지 4mm인 기판 처리 반응기.
KR1019970053881A 1996-10-21 1997-10-21 페이스플레이트, 그 페이스플레이트를 포함하는 반응기 KR100492135B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/735,386 US5882411A (en) 1996-10-21 1996-10-21 Faceplate thermal choke in a CVD plasma reactor
US8/735,386 1996-10-21
US08/735,386 1996-10-21

Publications (2)

Publication Number Publication Date
KR19980033001A true KR19980033001A (ko) 1998-07-25
KR100492135B1 KR100492135B1 (ko) 2005-09-02

Family

ID=24955564

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970053881A KR100492135B1 (ko) 1996-10-21 1997-10-21 페이스플레이트, 그 페이스플레이트를 포함하는 반응기

Country Status (3)

Country Link
US (1) US5882411A (ko)
JP (1) JP4371442B2 (ko)
KR (1) KR100492135B1 (ko)

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6786935B1 (en) * 2000-03-10 2004-09-07 Applied Materials, Inc. Vacuum processing system for producing components
US6451390B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Deposition of TEOS oxide using pulsed RF plasma
TWI303084B (en) * 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
KR20030066118A (ko) * 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
DE10392996T5 (de) * 2002-08-08 2005-07-21 Trikon Technologies Limited, Newport Verbesserungen für Duschköpfe
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
WO2004079778A2 (en) * 2003-02-28 2004-09-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
CN100495413C (zh) * 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
US7048432B2 (en) * 2003-06-19 2006-05-23 Halliburton Energy Services, Inc. Method and apparatus for hydrating a gel for use in a subterranean formation
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR101063737B1 (ko) * 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
DE602006011140D1 (de) * 2005-04-05 2010-01-28 Krosaki Harima Corp Gas-show-erplatte für eine plasmaverarbeitungsvorrichtung
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
KR100689847B1 (ko) 2005-07-15 2007-03-08 삼성전자주식회사 화학기상증착장치
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR100711184B1 (ko) * 2006-03-27 2007-04-24 주식회사 마이크로텍 샤워헤드 브래킷
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
TWI488547B (zh) * 2007-12-25 2015-06-11 Applied Materials Inc 電漿室裝置
JP5039576B2 (ja) * 2008-01-11 2012-10-03 シャープ株式会社 プラズマ処理装置
CN101933402B (zh) * 2008-01-31 2013-03-27 应用材料公司 用于等离子体腔室的电极的多相射频电源
KR100970201B1 (ko) 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
WO2010094002A2 (en) * 2009-02-13 2010-08-19 Applied Materials, Inc. Rf bus and rf return bus for plasma chamber electrode
WO2011031321A2 (en) 2009-09-10 2011-03-17 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
KR20120072563A (ko) * 2010-12-24 2012-07-04 주식회사 원익아이피에스 진공처리장치
JP5933602B2 (ja) 2011-03-04 2016-06-15 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ガス分配を行なう装置および基板処理装置
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
CN103305907A (zh) * 2013-06-14 2013-09-18 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US10889894B2 (en) 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
KR20210105139A (ko) 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치
US11810764B2 (en) * 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
US11242600B2 (en) 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
KR20220067696A (ko) 2020-11-18 2022-05-25 (주)포인트엔지니어링 가스 공급부재 및 이를 구비한 기판처리장치

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber

Also Published As

Publication number Publication date
JPH10144614A (ja) 1998-05-29
JP4371442B2 (ja) 2009-11-25
KR100492135B1 (ko) 2005-09-02
US5882411A (en) 1999-03-16

Similar Documents

Publication Publication Date Title
KR100492135B1 (ko) 페이스플레이트, 그 페이스플레이트를 포함하는 반응기
JP7425160B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
US8444926B2 (en) Processing chamber with heated chamber liner
US7552521B2 (en) Method and apparatus for improved baffle plate
JP4511722B2 (ja) 化学気相堆積用リアクタ
KR100965758B1 (ko) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
JP3597871B2 (ja) ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体
JP3884620B2 (ja) プラズマ放電ガスを処理室へ導入する高電力rf電極を絶縁する装置
US6364949B1 (en) 300 mm CVD chamber design for metal-organic thin film deposition
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
TWI801413B (zh) 具有加熱的噴淋頭組件之基板處理腔室
KR20090027747A (ko) 기판 가열기 조립체
JP2004534905A (ja) チタン化学気相堆積用リアクタ
JP7381713B2 (ja) プロセスキットのシース及び温度制御
KR102296914B1 (ko) 가열식 세라믹 페이스플레이트
US20210020488A1 (en) Wafer support unit and wafer treatment system including the same
CN112185791B (zh) 喷头单元及具有该喷头单元的基板处理系统
KR100698504B1 (ko) 화학 기상 증착 장치
JP2023531409A (ja) 堆積用途のための高温面板
US20230335377A1 (en) Showerhead assembly with heated showerhead
KR101410820B1 (ko) 상부 전극 조립체 및 이를 포함하는 박막 처리 장치
US20240068096A1 (en) Showerhead Assembly with Heated Showerhead
TW202301411A (zh) 將處理環境擴展到基板直徑之外的基板邊緣環
TW202421824A (zh) 具有加熱的噴淋頭的噴淋頭組件
JP2023053918A (ja) 上部電極アセンブリ及びプラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 12