KR20040100196A - 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 - Google Patents

액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 Download PDF

Info

Publication number
KR20040100196A
KR20040100196A KR1020030032452A KR20030032452A KR20040100196A KR 20040100196 A KR20040100196 A KR 20040100196A KR 1020030032452 A KR1020030032452 A KR 1020030032452A KR 20030032452 A KR20030032452 A KR 20030032452A KR 20040100196 A KR20040100196 A KR 20040100196A
Authority
KR
South Korea
Prior art keywords
showerhead
backing plate
shower head
chemical vapor
enhanced chemical
Prior art date
Application number
KR1020030032452A
Other languages
English (en)
Other versions
KR100965758B1 (ko
Inventor
장근하
유치욱
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020030032452A priority Critical patent/KR100965758B1/ko
Priority to TW093114479A priority patent/TWI355674B/zh
Priority to CNB2004100424749A priority patent/CN100421214C/zh
Priority to US10/852,929 priority patent/US20050000430A1/en
Publication of KR20040100196A publication Critical patent/KR20040100196A/ko
Application granted granted Critical
Publication of KR100965758B1 publication Critical patent/KR100965758B1/ko

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 공정챔버의 상단에 설치되는 백킹 플레이트에 결합되는 샤워헤드 주변부의 형상이 박판 형태로 변경되거나, 상기 샤워헤드 주변부의 내측 상면으로 서브 히터가 삽입된 샤워헤드 어셈블리 및 상기 서브 히터를 이용하여 샤워헤드 주변부의 온도 저하를 보상하는 방법에 관한 것이다.
본 발명에 의한 샤워헤드는 영역에 따른 온도 차이를 억제함으로써, 열적 불균형에 따라 주변부에서 발생하는 파티클의 생성을 감소시킬 수 있을 뿐 아니라, 샤워헤드 주변부에서 백킹 플레이트로 전달되는 열을 감소시킴으로써 샤워헤드 주변부에서의 열 손실을 감소시켜 백킹 플레이트와 접촉하는 절연체의 손상 및 대면적의 샤워헤드가 열 팽창률 차이로 인하여 야기되는 샤워헤드 주변부의 변형을 방지할 수 있어 기판의 전 영역에 걸쳐 균일한 박막 증착이 가능하다.

Description

액정표시장치용 플라즈마 강화 화학기상증착 장치의 샤워헤드 어셈블리{Showerhead Assembly of Plasma Enhanced Chemical Vapor Deposition for Liquid Crystal Display Device}
본 발명은 플라즈마 강화 화학기상증착 장치에 관한 것으로, 보다 상세하게는 액정표시장치용 플라즈마 화학기상증착 장치의 샤워헤드 어셈블리 및 이를 포함하는 액정표시장치용 플라즈마 화학기상증착 장치에 관한 것이다.
액정표시장치는 어레이 기판과 컬러 필터 기판 사이에 액정을 주입하여, 그 특성을 이용해 영상효과를 얻는 비발광 소자를 뜻한다.
이러한 어레이 기판과 컬러 필터 기판은 각각 유리등의 재질로 이루어지는 투명 기판 상에 수 차례에 걸친 박막의 증착, 패터닝 및 식각 공정을 통해 제조되는데, 공정챔버 상부로부터 다운스트림 방식으로 반응 및 소스물질이 가스상으로 유입되어 증착 또는 식각공정을 진행하는 경우, 반응 및 소스가스가 기판 상면에 균일하게 분포될 수 있도록 기판 상부에 다수의 관통홀이 형성되는 샤워헤드를 포함하는 샤워헤드 어셈블리가 이용된다. 특히, 최근에는 박막을 증착시키는데 있어서, 공정 챔버 외부의 고전압의 에너지를 이용하여 공정 가스를 플라즈마 상태로 여기시킨 상태에서 공정 가스 사이의 화학반응을 유도하는 플라즈마 강화 화학기상증착(Plasma Enhanced Chemical Vapor Deposition, PECVD) 방법이 폭넓게 사용되고 있다. PECVD 방법을 이용한 기판 증착 장치를 설명하면 다음과 같다.
도 1은 종래의 액정표시장치용 PECVD 증착 장치를 개략적으로 나타내는 단면도이고, 도 2는 도 1의 A 부분을 확대하여 표시한 단면도이다. 도시한 바와 같이, 액정표시장치용 PECVD 장치는 외부 영역과 차단되어 반응공간을 형성하는 공정챔버(10)에서 실질적인 박막 증착 공정이 수행된다. 상기 공정챔버(10)는 크게 상부 커버(12)와 챔버 바디(14)로 나뉘는데, 그 사이에는 오-링(16)이 개재되어 공정챔버(10)의 내부 영역을 외부영역으로부터 밀폐시킨다.
상기 상부 커버(12)는 상단으로부터 복층으로 배열되어 있는 상부 리드(22), 백킹 플레이트(Backing plate,34), 샤워헤드(30)로 구성되며, 상기 백킹 플레이트(34)와 샤워헤드(30)의 외측으로 측면 리드(20)가 설치된다.
공정가스는 외부의 가스공급원(미도시)으로부터 가스라인(미도시)을 경유하여 백킹 플레이트(34)의 중앙을 관통하는 가스 유입관(70)에 의하여 백킹 플레이트(34)의 하부로 주입된다. 이와 같이 주입된 공정가스는 백킹 플레이트(34)의 하부에 형성된 배플(baffle, 36)에 의하여 1차로 확산된 뒤, 상기 백킹 플레이트(34) 및 배플(36)의 하부에서 상부 커버를 횡단하여 설치된 샤워헤드(30)에 다수 형성된 관통홀(32)을 통하여 서셉터(60) 상면에 안착된 기판(S)의 상면으로 균일하게 분사된다.
특히, 이와 같이 분사된 공정가스를 여기시키는데 필요한 에너지를 공급하는 RF 전원(80)이 백킹 플레이트(34) 및 샤워헤드(30)와 연결되며, 샤워헤드(30)를 통해 분사된 공정가스를 활성화시킴으로써, 박막 증착이 이루어진다. 따라서, 상기 백킹 플레이트(34) 및 샤워헤드(30)는 상부 전극으로서 기능한다.
상기 챔버 바디(14)의 양측면은 상부 커버(12)의 측면 리드(20)와 O-링으로 결합되는 되는데, 그 내부에는 상기 샤워헤드(30)와 일정간격 이격되어 대향적으로 설치되는 서셉터(60)가 설치되어 그 상면으로 기판(S)이 안착되는데, 박막 증착 과정에서 서셉터(60)내에 설치되는 히터(미도시)에 의하여 기판(S)의 온도를 증착에 적합한 온도로 상승시키며 하부 전극으로 기능하도록 전기적으로 접지된다. 특히,기판(S)상에 증착되는 공정물질이 기판의 가장자리에 증착되는 것을 방지하기 위하여 상기 서셉터(60)의 상측면 및 기판(S) 측면으로는 섀도우 프레임(64)이 설치되어 기판(S)의 가장자리를 커버한다.
또한, 증착 공정이 완료된 후에 공정챔버 내부에 잔류하는 공정가스가 외부로 배출되도록 서셉터(60) 하부의 진공분배판(54)과 공정챔버(10)의 저면에 배기구(52)가 형성된다.
특히, 공정가스를 기판(S)의 상면으로 분사시킴과 동시에 상부전극으로 기능하는 샤워헤드(30)와 백킹 플레이트(34)는 가장자리에서 다수의 볼트(42)를 통해 접촉되어 전기적으로 연결되며, 상기 샤워헤드(30) 및 백킹 플레이트(34)가 체결되는 주변부와 측면 리드(20) 사이는 전기적 절연 및 공정챔버 내부의 진공상태를 유지할 수 있도록 다수의 절연부재(44, 46, 48, 49)가 개재된다.
그러나 종래 액정표시장치용 화학기상증착장치에 있어서, 공정가스의 열분해를 통하여 기판의 상면으로 박막을 증착시키기 위해서 히터(62)의 작동에 의하여 서셉터(60)의 온도는 통상 300~400℃로 유지되기 때문에, 서셉터(60)의 상면과 일정간격(10~30㎝) 이격되어 설치되는 샤워헤드(30)의 온도 역시 상승된다.
그러나, 샤워헤드(30)의 주변부는 챔버 외벽으로부터 뺏기는 열이 크기 때문에 샤워헤드 주변부와 중앙부는 서로 동일 온도로 상승하지 못하고 열적으로 불균형 상태에 있게 된다. 즉, 샤워헤드 주변부의 열적 손실(thermal loss)로 인하여 샤워헤드 주변부는 중앙부에 비하여 온도가 저하된 상태에 있으므로, 샤워헤드 주변부에서는 공정가스가 열분해에 따른 표면반응을 하지 못해 파우더(powder) 형태로 잔존하게 되어 파티클의 발생원인이 된다.
특히, 샤워헤드 주변부는 그 상면이 백킹 플레이트(34)의 저면과 전기적으로 연결될 수 있도록 접촉하고 있는데, 도 2에서 볼 수 있는 것과 같이 주변부는 다른 영역, 즉 중앙부와 실질적으로 동일한 두께로 백킹 플레이트와 체결되어 있다. 따라서 서셉터로부터 샤워헤드 주변부로 전달된 열은 백킹 플레이트로 전달된다. 이로 인하여 중앙부에 비하여 열적 손실이 많은 주변부는 더욱 많은 열적 손실이 발생하게 된다.
이 경우 백킹 플레이트(34)와 측면 리드(20)를 전기적으로 절연시키기 위하여 그 사이에 삽입되는 절연부재의 하나인 테프론 절연체(48)와 공정챔버 내부의 진공을 유지하기 위하여 상기 테프론 절연체(48)의 상하부에 개재되는 오-링(49)이 손상을 입게되어 제 기능을 유지할 수 없다.
이와 같이 영역에 따른 열적 불균형으로 인하여 중앙부에 비하여 온도가 저하되는 샤워헤드의 주변부에서는 외부로부터 유입되는 공정가스는 제대로 열분해 되지 않고 파우더 형태를 가지게 되고, 결국 파티클(particle)을 발생시킴으로써 공정챔버 내부를 오염시키는 원인이 된다. 따라서 공정챔버 내부의 세정 주기(Cleaning cycle)의 빈도가 증가하게 되고, 이는 결국 제조공정의 생산효율을 감소시키게 된다.
따라서, 종래에는 샤워헤드로부터의 열 전달에 의하여 백킹 플레이트(34)의 온도가 상승되는 것을 방지하기 위하여 백킹 플레이트(34)내부를 외부의열교환기(heat exchanger)로 연결하여 온도를 낮추는 방법이 사용되었다. 그러나 이 경우 백킹 플레이트(34)의 온도가 하강하면 결국 백킹 플레이트와 접촉하고 있는 샤워헤드(34) 주변부의 온도가 낮아지게 되어 주변부에서는 공정물질이 반응하지 못하고 파우더(powder)로 전환되어 오염원(particle)을 발생시킬 뿐 아니라, 샤워헤드(30)와 대향되어 설치되는 서셉터(60)의 온도분포가 균일하지 못하여 소자 품질에 영향을 준다.
특히, 이와 같이 설치된 열교환기로 인하여 제조비용이 증가하고 관리상의 복잡함이 야기될 뿐 아니라, 매질을 통해 상부전극으로 전달되는 RF의 손실로 인하여 플라즈마 상태가 변할 수 있기 때문에 품질에 악영향을 미칠 수 있다.
한편, 샤워헤드는 통상적으로 알루미늄 재질로 제조되기 때문에, 서셉터 및 그 상부에 안착된 기판으로부터 복사된 열을 전달받은 샤워헤드는 열전달에 의하여 열팽창한다. 특히, 최근 기판 크기가 점차 대형화, 대면적화하고 있는 추세를 고려해볼 때, 기판 크기에 비례하는 샤워헤드(30)는 온도 상승에 따라 팽창하고자 하는 길이가 증가한다.
그러나, 상기한 것과 같이 영역에 따른 온도 차이로 인하여 샤워헤드 중앙부와 주변부에서 열팽창의 정도는 차이가 있어 중앙부가 주변부에 비하여 열팽창률이 크게된다. 영역에 따른 열팽창률의 차이로 인하여 샤워헤드는 열적변형의 정도가 달라지고 결국 왜곡되거나 뒤틀린 형태로 남게 된다.
특히, 샤워헤드의 주변부는 볼트(42)를 통하여 백킹 플레이트(34)와 결합되어 있으므로 팽창이 억제되기 때문에, 영역에 따른 열팽창률 차이로 인한 샤워헤드의 변형(deformation)이나 뒤틀림은 더욱 증가할 수밖에 없다. 따라서, 서셉터(60) 상면에 안착되는 기판(S)과 상부전극으로 기능하는 샤워헤드(30) 저면 사이의 간극은 영역에 따라 균일하지 않게 되고, 기판(S)상에서 공정물질의 증착률 역시 영역에 따라 동일하지 않게 된다.
결국, 열적 팽창이 억제됨으로써 야기되는 샤워헤드 주변부의 변형 및 백킹 플레이트로의 열 전달과 영역에 따른 샤워헤드의 온도 차이로 인하여 야기되는 성막 품질의 저하 및 파티클의 발생은 최근 기판(S)의 크기가 점차 대형화됨에 따라 필수적으로 해결해야 할 과제로 남아 있다.
본 발명은 상기와 같은 문제점을 해결하기 위하여 제안된 것으로, 본 발명의 일 목적은 주변부의 열적 손실로 야기되는 영역에 따른 열적 불균형을 보상할 수 있는 샤워헤드 어셈블리를 제공하고자 하는 것이다.
즉, 본 발명의 목적은 샤워헤드의 온도상승에 의하여 야기되는 백킹 플레이트로의 열 전달을 극소화할 수 있는 액정표시장치용 샤워헤드 어셈블리를 제공하고자 하는 것이다.
또한, 본 발명의 다른 목적은 샤워헤드의 영역에 따른 온도차이를 극소화함으로써 샤워헤드 주변부에서 발생되는 파우더 및 그로 인한 파티클의 생성을 억제하여 생산효율을 증대시키고, 샤워헤드의 영역별 온도 차이로 인하여 야기되는 서셉터 및 그 상면에 안착되는 기판의 열적 변형을 차단함으로써 공정물질이 기판의 전영역에 걸쳐 균일하게 증착될 수 있도록 하여 향상된 품질의 성막이 가능한 액정표시장치용 플라즈마 강화화학기상 증착 장치의 샤워헤드 어셈블리를 제공하고자 하는 것이다.
본 발명의 또 다른 목적은 온도 상승에 따라 자연스럽게 팽창됨으로써, 열적 변형을 방지할 수 있는 액정표시장치용 샤워헤드 어셈블리를 제공하고자 하는 것이다.
도 1은 종래 LCD용 플라즈마 강화 화학기상증착 장치의 개략적인 단면도;
도 2는 도 1의 A 부분을 확대하여 표시한 단면도;
도 3은 본 발명의 제 1 실시예에 따른 LCD용 플라즈마 강화 화학기상증착 장치의 개략적인 단면도;
도 4는 도 3의 B 부분을 확대하여 표시한 단면도;
도 5는 본 발명의 제 1 실시예에 따른 샤워헤드 주변부가 열을 받았을 때 측면으로 팽창되는 상태를 도시한 단면도이다.
도 6은 본 발명의 제 2 실시예에 따른 액정표시장치용 화학기상증착장치를 개략적으로 도시한 단면도;
도 7은 도 6의 B 부분을 확대하여 표시한 단면도;
도 8a는 본 발명의 제 2 실시예에 따른 샤워헤드 주변부에 삽입되는 서브 히터의 내부 구조를 개략적으로 도시한 단면도이고, 도 8b는 도 5a의 V-V' 선을 따라 절단한 면을 도시한 절단면도;
도 9a 내지 도 9d는 본 발명의 제 2 실시예에 따른 서브 히터를 샤워헤드에 삽입하는 과정을 단계별로 도시한 단면도;
도 10은 본 발명의 제 3 실시예에 따른 샤워헤드 어셈블리의 주변부를 개략적으로 도시한 단면도이다.
<도면의 주요부분에 대한 부호의 설명>
100 : 공정챔버 120 : 측면 리드
122 : 상부 리드 130 : 샤워헤드
131a : 샤워헤드 말단 131b : 수직 절곡부
131c : 요부 132 : 분사홀
134 : 백킹 플레이트 135a : 백킹 플레이트 말단
135b : 체결부 138 : 클팸핑바
160 : 서셉터 170 : 가스 유입관
200 : 서브 히터 202 : 가열 소자
204 : 제 1 실드 204a, 206a : 절연 코어
206 : 제 2 실드 204b, 206b : 금속 쉬스
상기한 목적을 위하여, 본 발명의 일 관점에 의하면 공정물질이 증착되는 기판이 내부로 유입되는 공정챔버의 상단에 설치되어, 상기 공정챔버 내부로 가스를 공급하는 플라즈마 강화 화학기상증착용 샤워헤드 어셈블리에 있어서, 상기 공정챔버의 상단 측벽사이를 횡단하며 중앙에 가스 유입구가 구비되는 백킹 플레이트와; 다수의 분사홀이 설치되어 상기 백킹 플레이트의 저면과 일정간격 이격되는 중앙부와, 요부가 형성된 주변부를 갖는 샤워헤드를 포함하는 플라즈마 강화 화학기상증착용 샤워헤드 어셈블리가 제공된다.
본 발명의 상기 샤워헤드 어셈블리를 구성하는 상기 백킹 플레이트와 전기적으로 연결되는 상기 샤워헤드 주변부가 상기 중앙부에 비하여 얇게 형성되는 것을 특징으로 한다.
또한, 본 발명의 다른 관점에 따르면, 본 발명은 공정물질이 증착되는 기판이 내부로 유입되는 공정챔버의 상단에 설치되어, 상기 공정챔버 내부로 가스를 공급하는 LCD용 플라즈마 강화 화학기상증착 장치용 샤워헤드 어셈블리에 있어서, 상기 공정챔버의 상단 측벽사이를 횡단하며 중앙에 가스 유입구가 구비되는 백킹 플레이트와; 상기 백킹 플레이트의 저면과 일정간격 이격되며 다수의 분사홀이 구비되는 중앙부와, 서브히터를 구비한 주변부를 가지는 샤워헤드를 포함하는 LCD용 플라즈마 강화 화학기상증착 장치의 샤워헤드 어셈블리를 제공한다.
샤워헤드 주변부에 서브히터가 구비되는 경우 상기 서브 히터는 그 중심에 가열선이 형성되고, 그 외주면으로 각각 절연 코어와 상기 절연 코어의 외주면을 둘러싸는 금속 쉬스(sheath)로 구성되는 내부 실드 및 외부 실드로 구성되는 것을 특징으로 하는데, 이 경우 상기 내부 실드 및 상기 외부 실드의 절연코어는 산화마그네슘(MgO)으로 제조되는 것이 바람직하다.
특히, 서브히터가 구비되는 본 발명의 샤워헤드 어셈블리에 있어서도 상기 백킹 플레이트와 전기적으로 연결되는 상기 샤워헤드 주변부가 상기 중앙부에 비하여 얇게 형성될 수 있고, 상기 백킹 플레이트와 전기적으로 연결되는 상기 샤워헤드의 주변부가 중앙부에 비하여 얇은 형상을 취하는 것이 바람직하다.
본 발명과 관련하여 샤워헤드 어셈블리란 기판의 상면에 위치하여 공정챔버(100) 내부로 주입된 공정가스를 분사하고, 외부의 RF 전원으로부터의 에너지를 이용하여 분사된 공정가스를 활성화시킬 수 있는 상부전극으로서의 역할을수행하는 조립체를 뜻한다.
이하, 첨부하는 도면을 참조하여 본 발명의 바람직한 실시예에 대하여 보다 상세히 설명한다.
도 3은 본 발명의 제 1 실시예에 따른 LCD용 플라즈마 강화 화학기상증착(PECVD) 장치를 개략적으로 도시한 단면도로서, 본 실시예의 LCD용 PECVD 장치는 외부 영역과 차단되어 진공상태의 반응영역을 형성하는 공정챔버(100)에서 실질적인 박막 증착 공정이 수행된다. 상기 공정챔버(100)는 크게 상부 커버(112)와 챔버 바디(114)로 구분되며, 그 사이에는 오-링과 같은 실링 부재(116)가 개재되어 공정챔버(100)의 내부 영역을 외부영역으로부터 밀폐시킨다.
상기 상부 커버(112)는 상단으로부터 상부 리드(122), 백킹 플레이트(134), 샤워헤드(130)로 구성되며, 상기 백킹 플레이트(34)와 샤워헤드(30)의 외측으로는 측면 리드(20)가 설치된다.
공정가스는 외부의 가스공급원(미도시)으로부터 가스라인(미도시)을 경유하여 백킹 플레이트(34)의 중앙을 관통하는 가스 유입관(170)에 의하여 백킹 플레이트(134)의 하부로 주입된다.
이와 같이 주입된 공정가스는 백킹 플레이트(134)의 하부에 형성된 배플(baffle, 136)에 의하여 1차로 확산된 뒤, 샤워헤드(130)에 다수 형성된 분사홀(132)을 통하여 서셉터(160) 상면에 안착된 기판(S)의 상면으로 균일하게 분사된다.
특히, 이와 같이 분사된 공정가스를 여기시키는데 필요한 에너지를 공급하는 RF 전원(180)이 백킹 플레이트(134) 및 샤워헤드(130)와 연결되어 샤워헤드(30)를 통해 분사된 공정가스를 플라즈마화시킴으로써, 성막이 이루어진다. 따라서, 상기 백킹 플레이트(134) 및 샤워헤드(130)는 상부 전극으로서 기능한다.
상기 챔버 바디(114)의 측면은 상부 커버(112)의 측면 리드(120)와 실링부재(116)로 결합되며, 상기 샤워헤드(130)와 일정간격 이격되어 대향적으로 설치되는 서셉터(160)가 형성되어 그 상면으로 기판(S)이 안착된다. 특히, 상기 서셉터(160)에는 증착 과정에서 기판(S)의 온도를 증착에 적합한 온도로 상승시킬 수 있도록 히터(162)가 설치되며, 증착 과정에서 상기 서셉터(160)는 하부 전극으로 기능하도록 전기적으로 접지된다.
상기 서셉터(160)의 하단으로는 상기 기판(S)이 공정챔버(100) 내부로의 로딩 또는 언로딩에 따라 서셉터(160)를 상하로 이동시킬 수 있는 승강수단(미도시)이 연결된다.
또한, 기판(S)의 가장자리와 공정챔버의 측벽으로 공정물질이 증착되지 않고 기판을 서셉터(160)에 밀착시키기 위해 상기 서셉터(160)의 상측면 및 기판(S) 측면으로는 섀도우 프레임(164)이 설치되어 기판(S)의 가장자리를 커버한다.
한편, 증착 반응이 완료된 후에 공정챔버(100) 내부에 잔류하는 공정가스가 외부로 배출되도록 서셉터(160) 하부의 진공분배판(154)과 공정챔버(110)의 저면에 배기구(52)가 구비되는데, 잔류가스는 상기 배기구(152)와 연결되어 있는 배기관(미도시)을 통하여 배출되며 펌프(미도시)의 작동에 의하여 외부로 배출된다.
도 4는 도 3의 B 부분인 본 실시예에 따른 샤워헤드 어셈블리 주변부를 확대하여 도시한 단면도인데, 도시한 바와 같이 본 발명의 샤워헤드 어셈블리에 있어서, 샤워헤드(130) 및 백킹 플레이트(134)가 결합되는 주변부는 종래 LCD용 PECVD 샤워헤드 어셈블리의 주변부와는 다른 구성을 취하고 있음을 알 수 있다.
상기 백킹 플레이트의 체결부(135b)와 결합되는 샤워헤드의 말단(131a)은 다수의 분사홀(132, 도 3 참조)이 구비된 샤워헤드 중앙부에 비하여 수평으로 얇고 긴 형상, 즉 박판(薄板) 형태를 취하고 있다. 즉, 상기 샤워헤드 말단(131a)은 그 저면이 상면으로 밀착하는 것을 알 수 있다. 도 4에서는 샤워헤드 말단(131a) 상면이 중앙부에 비하여 상승한 형상을 취하고 있는데, 이는 본 발명의 핵심이 아니고 샤워헤드 말단(131a)의 형상이 중앙부에 비하여 얇고 긴 형상을 취하는 한 본 발명의 권리범위에 속한다 할 것이다.
다만, 샤워헤드 말단(131a)이 얇고 긴 형상을 취하게 되면, 샤워헤드 말단(131a)과 백킹 플레이트의 체결부(135b) 사이의 결합이 불안정하게 될 우려가 있다. 이에 본 실시예에서는 그 저면이 상면으로 밀착되고 있는 상기 샤워헤드 말단(131a)의 하부에 이를 지지할 수 있는 클램핑바(138)를 설치하여, 상기 샤워헤드(130)와 백킹 플레이트(134) 사이의 결합이 불안정하지 않도록 하는 것이 바람직하다.
이와 같이 상단의 백킹 플레이트 체결부(135b), 중단의 샤워헤드 말단(131a) 및 하단의 클램핑바(138)로 배열된 본 발명의 샤워헤드 어셈블리, 즉 상기 백킹 플레이트(134) 및 샤워헤드(130)의 주변부는 볼트(142, 도 3 참조)와 같은 체결수단을 통하여 동시에 결합됨으로써, 전기적으로 연결될 수 있다.
한편, 본 실시예와 관련하여 상기 백킹 플레이트 체결부(135b)와 결합되는 상기 샤워헤드 말단(131a)의 내측 상면으로 요부(131c)가 형성되는 것이 바람직하다. 이와 같이 요부(131c)가 형성되면 상기 샤워헤드 말단(131a)과 상기 요부(131c)사이의 샤워헤드 수직 절곡부(131b)가 자연스럽게 형성되는데, 이를 통하여 수평적으로 형성되는 상기 샤워헤드 말단(131a)과 상기 요부(131c)를 연결하게 된다.
특히, 상기 수직 절곡부(131b)의 외측면과 상기 클램핑바(138)의 내측면 사이는 소정의 간격을 두고 이격됨으로써, 후술하는 바와 같이 샤워헤드의 요부(131c)가 외측면으로 확장될 수 있는 여지를 남겨두는 것이 바람직하다.
또한, 상기 샤워헤드(130) 및 백킹 플레이트(134)가 체결되는 샤워헤드 어셈블리 주변부와 측면 리드(120) 사이는 절연 및 진공유지를 위하여 다수의 절연부재(144, 146, 148, 도 3 참조)가 개재된다. 예컨대, 상기 측면 리드(120)와 상부전극(샤워헤드 및 백킹 플레이트) 사이의 영역으로 플라즈마가 형성되는 것을 방지하기 위하여 세라믹 절연체(144, 도 3 참조)가 상기 백킹 플레이트 체결부(135b), 샤워헤드 말단(131a) 및 클램핑바(138)의 외측면에 형성되어 상부 전극과 측면 리드(120)간의 절연역할을 하고, 상기 샤워헤드 주변부 하단의 외측 및 상기 클램핑바(138) 및 상기 세라믹 절연체(144)의 저면을 따라 세라믹확장부(146)가 측면 리드(120)의 저면 일부를 관통하도록 설치된다. 또한, 상기 백킹 플레이트(134)로부터 상기 측면 리드(120)를 전기적으로 절연시키기 위하여 백킹 플레이트 말단(135a)과 상기 측면 리드(120) 사이에 테프론 절연체(148)가 위치하며, 외부 영역으로부터 공정챔버 내부의 진공을 유지할 수 있도록 상기 테프론 절연체(148)와 상기 백킹 플레이트 말단(135a), 측면 리드(120) 사이에는 O-링(149)이 삽입된다.
도 5는 본 발명의 샤워헤드 주변부가 서셉터로부터 전달된 열을 받아 측면으로 팽창한 상태를 도시한 단면도이다. 상기에서 기술한 바와 같이 본 실시예에서는 상기 백킹 플레이트 체결부(135b)와 결합되는 샤워헤드 말단(131a)의 내측 상면으로 요부(131c)가 함몰되어 있다. 따라서 본 실시예에 따라 제조되는 샤워헤드(130)는 서셉터로부터 열을 흡수하더라도 샤워헤드 주변부에 형성되는 상기 요부(131c)는 외측으로 팽창할 수 있다. 따라서 샤워헤드 말단(131a)을 포함하는 샤워헤드 주변부(131)는 영역별 열적 불균형에 의한 열 팽창률 차이에 기인하는 열적 스트레스에 의하여 변형 또는 뒤틀리지 않게 된다. 결국 샤워헤드의 열적 변형으로 인한 기판 상면으로의 박막증착률을 기판의 전 영역에 걸쳐 균일하게 제어할 수 있다.
특히, 상기 샤워헤드 요부(131c) 및 샤워헤드 말단(131a) 사이에 개재되는 수직 절곡부(134b)의 외측면과 상기 클램핑바(138)의 내측면 사이를 소정의 거리를 두고 이격되도록 구성하면, 상기 수직 절곡부(131b)가 외측으로 자연스럽게 팽창할 수 있어 열적 스트레스로 인한 샤워헤드(130)의 뒤틀림을 보다 효과적으로 억제할수 있고, 백킹 플레이트(134)와 연결되는 부분 역시 샤워헤드(130)의 팽창하고자 하는 힘을 크게 받지 않게 되어 이 부분의 마찰이 크게 감소된다.
한편, 서셉터 및 기판으로부터 샤워헤드로 복사된 열 에너지 중 주변부의 열에너지는 샤워헤드 말단(131a)을 통하여 백킹 플레이트(134)로 전달되는데, 본 실시예에서와 같이 샤워헤드 말단(131a)을 중앙에 비하여 박판(薄板) 형태로 구성하게 되면, 이를 통하여 백킹 플레이트(134)로 전달되는 열의 양은 크게 감소하게 되어 백킹 플레이트(134)로의 온도 차단 효과가 극대화된다. 따라서, 본 실시예에서는 종래 백킹 플레이트(134)의 온도 상승으로 인하여 상기 테프론 절연체(148) 및 O-링(149)이 손상되는 것을 방지하기 위하여 설치되었던 열교환기를 사용하지 않으면서도 백킹 플레이트의 온도를 낮출 수 있어, 테프론 절연체(148) 및 O-링(149) 손상이 방지된다.
도 6은 본 발명의 제 2 실시예에 따른 샤워헤드 어셈블리를 포함하는 플라즈마 강화 화학기상증착(PECVD) 장치를 개략적으로 도시한 단면도이고, 도 7은 도 6의 B부분을 확대하여 도시한 단면도로서, 상기 제 1 실시예와 동일한 구성에 대해서는 동일부호를 기재하고 상세한 설명은 생략한다.
본 실시예에 따르는 샤워헤드 어셈블리는 도 7에서 상세히 도시하였는데, 상기한 바와 같이 통상 샤워헤드 주변부(131)의 온도는 중앙부에 비하여 낮기 때문에 상기 샤워헤드 주변부(131)의 내측으로 상기 샤워헤드 주변부(131)의 온도를 상승시킬 수 있는 서브 히터(200)를 설치하였다. 상기 서브 히터(200)는 샤워헤드 주변부(131)의 내측 상면에 형성되는 삽입홈(130a, 도 9a 및 도 9d 참조)에 삽입되어 그 상부에 배열되는 상기 백킹 플레이트(134) 및 상기 상부 리드(122)를 관통하여 공정챔버(100) 외부에 설치되는 전원(미도시)과 연결된다. 상기 서브 히터(200)가 관통되는 상부 리드(122) 및 백킹 플레이트(132)의 양측 상면으로는 상기 서브 히터(200)를 고정시킬 수 있도록 각각 서브 히터 클램프 블록(212) 및 실링 브래킷(214)이 설치되는 것이 바람직하다.
상기 서브 히터(200)는 중앙에서부터 각각 가열소자(202), 제 1 실드(204, Shield), 제 2 실드(206)가 그 내측에 형성된 부재의 외주면을 감싸안는 형상을 하고 있다. 다시 말하면, 상기 가열소자(202)의 외주면으로는 제 1 실드(204)가, 상기 제 1 실드(204)의 외주면으로는 제 2 실드(206)가 형성된다. 특히, 상기 제 1 실드(204) 및 제 2 실드(206)는 후술하는 바와 같이 다시 별도로 독립되는 2개의 층으로 나뉘어지는 것이 바람직하다.
한편, 도면에서는 상기 가열소자(202) 주위에 상기 제 1 실드(204)가 형성되기 시작하는 곳이 대략 상기 백킹 플레이트(134)의 상면이고, 상기 제 1실드(204)의 외주변으로 상기 제 2 실드(206)가 감싸지기 시작하는 곳이 대략 샤워헤드(130)의 상면 부근인 것처럼 기술되어 있으나, 이는 어디까지나 본 발명의 기술적 사상과는 무관한 것이라 할 것이다.
도 8a는 본 발명의 상기 서브히터(200)를 상세히 도시한 단면도이고, 도 8b는 상기 도 5a의 V-V'선을 따라 절단한 서브히터(200)의 절단면도이다. 본 실시예의 서브 히터는 도 7에서 간략히 기술한 바와 같이 중앙의 가열소자(202, heating element)의 외주변으로 각각 2개의 층으로 구분되는 제 1 실드(204) 및 제 2 실드(206)로 구성된다.
특히, 상기한 바와 같이 상기 제 1 실드(204) 및 제 2 실드(206)는 각각 서로 독립되는 2개의 층으로 구분되는데, 내측의 절연코어(204a, 206a)와 그 외부의 금속 쉬스(204b, 206b, sheath)로 구성된다. 특히, 상기 제 1 실드의 금속 쉬스(204b)와 제 2 실드의 금속 쉬스(206b)는 서로 동일하거나 다른 재질로 제조될 수 있다. 예컨대 본 발명이 이에 한정되는 것은 아니지만, 상기 제 1 실드의 금속 쉬스(204b)는 스테인레스이고, 상기 제 2 실드의 금속 쉬스(206b)는 알루미늄으로 제조되는 것이 바람직하다. 또한, 상기 가열소자(202)는 통상적인 다른 히터에서와 마찬가지로 니켈 또는 니크롬선으로 제조되며, 상기 절연코어(204a, 204b)는 산화마그네슘(MgO)으로 제조되는 것이 바람직하다.
도 9a 내지 도 9d는 본 발명의 상기 서브히터(200)를 샤워헤드(130)의 상면으로 삽입하는 과정을 개략적으로 도시한 단면도이다. 우선 백킹 플레이트(134, 도 6 참조)와 체결되는 샤워헤드 주변부(131, 도 7 참조)의 내측 상면으로 본 발명의 서브히터(200)가 내삽될 수 있도록 삽입홈(130a)이 형성된다. 즉 본 실시예에 따르는 상기 삽입홈(130)은 대체로 사각형 형상인 샤워헤드(130)의 상면 주변부 전체에 걸쳐 형성된다. 특히, 상기 삽입홈(130a)은 상기 샤워헤드의 중앙부 양측으로 서로 대향적으로 형성될 수 있는데, 중앙부의 양측에 형성되는 각각의 삽입홈(130a)은 각각 일정간격 이격되도록 설치하는 것이 바람직하다.
샤워헤드 주변부의 내측 상면으로 삽입홈(130a)이 형성된 뒤에 상기 삽입홈(130a)의 내면에 본 발명의 서브히터(200)가 내삽될 수 있는데(도 9b), 만약 상기 삽입홈(130a)이 샤워헤드 상면 중앙부를 기준으로 대칭적으로 형성된 경우에는 별개의 서브히터(200)가 각각 대향적으로 형성되는 삽입홈에 삽입되도록 설치한다. 이와 같이 삽입홈(130a) 및 서브히터(200)를 대향적으로 형성 또는 설치하게 되면, 샤워헤드 주변부의 온도 균일도를 더욱 향상시킬 수 있다.
상기 서브히터(200)가 상기 삽입홈(130a)으로 내삽된 뒤에는 서브히터(200)의 상면으로 알루미늄 바(220)가 삽입되고(도 9c), 최종적으로 상기 삽입홈(130a)의 상면 및 주변을 용접처리하여(230), 백킹 플레이트 및 상부 리드를 관통하는 곳을 제외한 나머지 영역에서는 상기 서브히터(200)는 샤워헤드(130)의 외면으로 노출되지 않는다(도 9d).
상기에서 설명한 본 실시예에 따라 샤워헤드 및 백킹 플레이트가 연결되는 샤워헤드 어셈블리 주변부의 내측 상면으로 히터를 설치하게 되면, 중앙부에 비하여 저하하는 경향이 있는 주변부의 온도를 보상할 수 있어 주변부에서 생성되는 파티클을 방지할 수 있고, 샤워헤드의 열팽창률이 중앙부와 주변부에 걸쳐 동일하게 되어 샤워헤드의 열적 스트레스를 억제할 수 있다.
도 10은 본 발명의 제 3 실시예에 따른 샤워헤드 어셈블리를 확대하여 표시한 단면도로서 샤워헤드(130) 및 백킹 플레이트(134)가 결합되는 주변부는 도 6 및 도 7과 다른 구성을 취하고 있음을 알 수 있다.
즉, 본 실시예는 상기 제 1 실시예에서 기술한 것과 같이 샤워헤드 주변부(131a)의 형태를 얇고 길게 구성하고, 상기 제 2 실시예에서 기술된 서브 히터(200)가 상기 샤워헤드 주변부(131a)의 내측으로 삽입, 관통하도록 하였다.
따라서, 본 실시예에서는 중앙부에 비하여 낮은 주변부의 온도 저하를 보상함과 동시에 열 팽창률 차이로 인하여 샤워헤드 주변부(131a)가 왜곡, 변형되는 것을 방지할 수 있게 된다. 결국 본 실시예에 의하여 제조된 샤워헤드 어셈블리는 샤워헤드 주변부의 변형 및 온도 저하로 인하여 야기되는 성막의 불균일 증착 및 오염원의 발생등의 문제를 동시에 해결할 수 있다.
상기에서는 본 발명의 바람직한 실시예에 대하여 기술하였으나, 본 발명은 이에 한정되지 않으며, 다양한 변형과 변경이 가능하다 할 것이다. 특히, 그와 같은 변형과 변경은 본 발명의 정신을 훼손하지 아니하는 범위 내에서 본 발명의 권리범위에 속한다는 것은 당업자에게는 자명할 것이며, 그와 같은 사실은 첨부하는 청구의 범위를 통하여 보다 분명해질 것이다.
본 발명의 액정표시장치용 화학기상증착 장치의 샤워헤드는 영역에 따른 열 손실 차이로 인하여 발생되는 열적 불균형을 제어함으로써, 다음과 같은 효과를 갖는다.
첫째, 중앙부에 비하여 저하되는 경향이 있는 샤워헤드 주변부의 열 손실을 보상함으로써, 주변부의 온도 저하로 야기되는 파우더 및 파티클의 생성을 억제할 수 있다. 따라서 종래에 비하여 세정주기의 빈도가 감소하기 때문에 장치의 전체적인 생산성을 크게 향상시킬 수 있다.
둘째, 본 발명의 샤워헤드 어셈블리는 기판의 size 증대에 따른 대면적의 샤워헤드 온도가 상승되어도 변형이나 뒤틀림이 없이 측면으로 팽창할 수 있어, 샤워헤드의 영역에 관계없이 기판과 샤워헤드 저면의 거리가 항상 일정하게 되고, 기판의 전면에 걸쳐 균일한 성막이 생성될 수 있다.
셋째, 샤워헤드의 말단이 박판 형상을 취하고 있어 샤워헤드로부터 백킹 플레이트로의 열 전달이 최소화됨에 따라 열교환기를 사용할 필요가 없어 장치의 제작 경비를 줄일 수 있을 뿐 아니라, 샤워헤드 주변부로부터 손실되는 열이 감소됨에 따라 샤워헤드는 전 영역에 걸쳐 열적 균형을 유지할 수 있다.
결국, 샤워헤드 및 서셉터의 영역별 온도차이에 의한 기판의 온도편향 역시 극소화될 수 있기 때문에, 기판의 가장자리 영역의 온도 불안정, 기판의 열팽창 편향이 억제됨으로써, 기판의 전 범위에 걸쳐 균일하게 박막이 증착됨으로써, 양질의 성막이 가능하다.

Claims (7)

  1. 공정물질이 증착되는 기판이 내부로 유입되는 공정챔버의 상단에 설치되어, 상기 공정챔버 내부로 가스를 공급하는 플라즈마 강화 화학기상증착용 샤워헤드 어셈블리에 있어서,
    상기 공정챔버의 상단 측벽사이를 횡단하며 중앙에 가스 유입구가 구비되는 백킹 플레이트와;
    다수의 분사홀이 설치되어 상기 백킹 플레이트의 저면과 일정간격 이격되는 중앙부와, 요부가 형성된 주변부를 갖는 샤워헤드를
    포함하는 플라즈마 강화 화학기상증착용 샤워헤드 어셈블리.
  2. 제 1항에 있어서,
    상기 백킹 플레이트와 전기적으로 연결되는 상기 샤워헤드 주변부가 상기 중앙부에 비하여 얇게 형성되는 것을 특징으로 하는 샤워헤드 어셈블리.
  3. 공정물질이 증착되는 기판이 내부로 유입되는 공정챔버의 상단에 설치되어, 상기 공정챔버 내부로 가스를 공급하는 LCD용 플라즈마 강화 화학기상증착 장치용 샤워헤드 어셈블리에 있어서,
    상기 공정챔버의 상단 측벽사이를 횡단하며 중앙에 가스 유입구가 구비되는 백킹 플레이트와;
    상기 백킹 플레이트의 저면과 일정간격 이격되며 다수의 분사홀이 구비되는 중앙부와, 서브히터를 구비한 주변부를 가지는 샤워헤드를
    포함하는 LCD용 플라즈마 강화 화학기상증착 장치의 샤워헤드 어셈블리.
  4. 제 3항에 있어서,
    상기 서브 히터는 그 중심에 가열선이 형성되고, 그 외주면으로 각각 절연 코어와 상기 절연 코어의 외주면을 둘러싸는 금속 쉬스(sheath)로 구성되는 내부 실드 및 외부 실드로 구성되는
    LCD용 플라즈마 강화 화학기상증착 장치의 샤워헤드 어셈블리.
  5. 제 4항에 있어서,
    상기 내부 실드 및 상기 외부 실드의 절연코어는 산화마그네슘(MgO)으로 제조되는 것을 특징으로 하는
    LCD용 플라즈마 강화 화학기상증착 장치의 샤워헤드 어셈블리.
  6. 제 3항 내지 제 5항 중 어느 한 항에 있어서,
    상기 백킹 플레이트와 전기적으로 연결되는 상기 샤워헤드 주변부가 상기 중앙부에 비하여 얇게 형성되는 것을 특징으로 하는 샤워헤드 어셈블리.
  7. 제 3항 또는 제 6항 중 어느 한 항에 있어서,
    상기 백킹 플레이트와 전기적으로 연결되는 상기 샤워헤드의 주변부가 중앙부에 비하여 얇은 형상인 것을 특징으로 하는 샤워헤드 어셈블리.
KR1020030032452A 2003-05-22 2003-05-22 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 KR100965758B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020030032452A KR100965758B1 (ko) 2003-05-22 2003-05-22 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
TW093114479A TWI355674B (en) 2003-05-22 2004-05-21 Showerhead assembly and apparatus for manufacturin
CNB2004100424749A CN100421214C (zh) 2003-05-22 2004-05-21 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备
US10/852,929 US20050000430A1 (en) 2003-05-22 2004-05-24 Showerhead assembly and apparatus for manufacturing semiconductor device having the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030032452A KR100965758B1 (ko) 2003-05-22 2003-05-22 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리

Publications (2)

Publication Number Publication Date
KR20040100196A true KR20040100196A (ko) 2004-12-02
KR100965758B1 KR100965758B1 (ko) 2010-06-24

Family

ID=33550141

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030032452A KR100965758B1 (ko) 2003-05-22 2003-05-22 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리

Country Status (4)

Country Link
US (1) US20050000430A1 (ko)
KR (1) KR100965758B1 (ko)
CN (1) CN100421214C (ko)
TW (1) TWI355674B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100891614B1 (ko) * 2007-04-10 2009-04-08 주식회사 에스에프에이 평면디스플레이용 화학기상 증착장치
WO2009116780A2 (ko) * 2008-03-17 2009-09-24 주식회사 아이피에스 진공처리장치
KR100981039B1 (ko) * 2008-05-20 2010-09-10 주식회사 테스 가스 공급 어셈블리
KR200457817Y1 (ko) * 2009-12-28 2012-01-05 주식회사 케이씨텍 원자층 증착장치의 샤워헤드 유닛
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR20160107687A (ko) * 2015-03-05 2016-09-19 주성엔지니어링(주) 기판 처리장치에 구비되는 샤워헤드
CN116759350A (zh) * 2023-08-22 2023-09-15 宁波润华全芯微电子设备有限公司 一种晶圆腔盖快拆装置

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR101063737B1 (ko) 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060237556A1 (en) * 2005-04-26 2006-10-26 Spraying Systems Co. System and method for monitoring performance of a spraying device
US20070210182A1 (en) * 2005-04-26 2007-09-13 Spraying Systems Co. System and Method for Monitoring Performance of a Spraying Device
CN100405537C (zh) * 2005-12-07 2008-07-23 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应装置
US20080000424A1 (en) * 2006-06-29 2008-01-03 Aviza Technology, Inc. Showerhead for a Gas Supply Apparatus
KR101206725B1 (ko) * 2006-07-26 2012-11-30 주성엔지니어링(주) 서로 다른 전위면 사이의 갭에 완충 절연재가 삽입된기판처리장치
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US8733279B2 (en) * 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
WO2008110547A1 (en) * 2007-03-12 2008-09-18 Aixtron Ag Novel plasma system for improved process capability
JP5285403B2 (ja) * 2008-04-15 2013-09-11 東京エレクトロン株式会社 真空容器およびプラズマ処理装置
EP2294244B1 (en) * 2008-05-28 2016-10-05 Aixtron SE Thermal gradient enhanced chemical vapour deposition.
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
SG10201602599XA (en) * 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
CN104380435B (zh) * 2012-05-29 2018-04-06 周星工程股份有限公司 基板加工装置及基板加工方法
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012021729B3 (de) 2012-11-05 2013-12-05 Universitätsklinikum Freiburg Vorrichtung zum Ablösen wandständiger Thromben aus einem Körpergefäß
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
CN103320852A (zh) * 2013-06-14 2013-09-25 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN107090575B (zh) * 2016-02-17 2019-04-23 北京北方华创微电子装备有限公司 一种均流装置及反应腔室
US10373810B2 (en) * 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate
CN109068901B (zh) * 2016-04-22 2020-12-01 三菱化学可菱水株式会社 喷淋头
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR102431354B1 (ko) 2017-07-11 2022-08-11 삼성디스플레이 주식회사 화학기상 증착장치 및 이를 이용한 표시 장치의 제조 방법
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
CN111433902A (zh) 2017-12-08 2020-07-17 朗姆研究公司 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US20190226087A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. Heated ceramic faceplate
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110016656B (zh) * 2019-05-23 2020-11-24 深圳市华星光电技术有限公司 化学气相沉积腔室
CN112542370B (zh) * 2019-09-23 2024-04-05 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
US20210238746A1 (en) * 2020-02-03 2021-08-05 Applied Materials, Inc. Showerhead assembly
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114875387B (zh) * 2022-03-29 2023-11-17 江苏微导纳米科技股份有限公司 薄膜沉积装置及其布气机构

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4638150A (en) * 1984-07-19 1987-01-20 Raychem Corporation Modular electrical heater
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
TWI297510B (ko) * 2000-09-08 2008-06-01 Tokyo Electron Ltd
JP4815724B2 (ja) * 2000-09-08 2011-11-16 東京エレクトロン株式会社 シャワーヘッド構造及び成膜装置
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR100891614B1 (ko) * 2007-04-10 2009-04-08 주식회사 에스에프에이 평면디스플레이용 화학기상 증착장치
WO2009116780A2 (ko) * 2008-03-17 2009-09-24 주식회사 아이피에스 진공처리장치
WO2009116780A3 (ko) * 2008-03-17 2009-12-30 주식회사 아이피에스 진공처리장치
KR100970201B1 (ko) * 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
KR100981039B1 (ko) * 2008-05-20 2010-09-10 주식회사 테스 가스 공급 어셈블리
KR200457817Y1 (ko) * 2009-12-28 2012-01-05 주식회사 케이씨텍 원자층 증착장치의 샤워헤드 유닛
KR20160107687A (ko) * 2015-03-05 2016-09-19 주성엔지니어링(주) 기판 처리장치에 구비되는 샤워헤드
CN116759350A (zh) * 2023-08-22 2023-09-15 宁波润华全芯微电子设备有限公司 一种晶圆腔盖快拆装置
CN116759350B (zh) * 2023-08-22 2023-11-17 宁波润华全芯微电子设备有限公司 一种晶圆腔盖快拆装置

Also Published As

Publication number Publication date
CN1574229A (zh) 2005-02-02
CN100421214C (zh) 2008-09-24
TWI355674B (en) 2012-01-01
US20050000430A1 (en) 2005-01-06
TW200504800A (en) 2005-02-01
KR100965758B1 (ko) 2010-06-24

Similar Documents

Publication Publication Date Title
KR100965758B1 (ko) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR100492135B1 (ko) 페이스플레이트, 그 페이스플레이트를 포함하는 반응기
KR100253134B1 (ko) 기판처리장치
US5885356A (en) Method of reducing residue accumulation in CVD chamber using ceramic lining
US5653808A (en) Gas injection system for CVD reactors
US8636871B2 (en) Plasma processing apparatus, plasma processing method and storage medium
KR20030043005A (ko) 웨이퍼 서셉터
KR20040096785A (ko) 양극처리된 기판 지지부
WO2009009607A1 (en) Apparatus and method for processing a substrate edge region
JP2000058518A (ja) 基板処理装置
WO2021087002A1 (en) Process kit for improving edge film thickness uniformity on a substrate
KR100592682B1 (ko) 표시장치용 기판 제조장비 및 그 가스분사장치
US20050034673A1 (en) Apparatus having edge frame and method of using the same
KR20070036844A (ko) 반도체 및 액정표시 장치 제조용 플라즈마 화학 증착 챔버
KR20030066118A (ko) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
KR101039524B1 (ko) 플라즈마 처리 장치
JPS592374B2 (ja) プラズマ気相成長装置
KR102224586B1 (ko) 처리 챔버들을 위한 코팅 재료
KR20040034907A (ko) 박막 증착 속도를 조절하는 샤워헤드를 구비한 화학 기상증착 장치.
KR100698504B1 (ko) 화학 기상 증착 장치
JP4890313B2 (ja) プラズマcvd装置
KR101410820B1 (ko) 상부 전극 조립체 및 이를 포함하는 박막 처리 장치
KR101137691B1 (ko) 에지프레임 및 이를 포함하는 기판처리장치
JPH06151411A (ja) プラズマcvd装置
KR101381208B1 (ko) 박막처리장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130410

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140402

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150512

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160616

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180403

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 10