KR20040100196A - 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 - Google Patents
액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 Download PDFInfo
- Publication number
- KR20040100196A KR20040100196A KR1020030032452A KR20030032452A KR20040100196A KR 20040100196 A KR20040100196 A KR 20040100196A KR 1020030032452 A KR1020030032452 A KR 1020030032452A KR 20030032452 A KR20030032452 A KR 20030032452A KR 20040100196 A KR20040100196 A KR 20040100196A
- Authority
- KR
- South Korea
- Prior art keywords
- showerhead
- backing plate
- shower head
- chemical vapor
- enhanced chemical
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G02—OPTICS
- G02F—OPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
- G02F1/00—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
- G02F1/01—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour
- G02F1/13—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour based on liquid crystals, e.g. single liquid crystal display cells
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4557—Heated nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Metallurgy (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Nonlinear Science (AREA)
- Crystallography & Structural Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Optics & Photonics (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
Claims (7)
- 공정물질이 증착되는 기판이 내부로 유입되는 공정챔버의 상단에 설치되어, 상기 공정챔버 내부로 가스를 공급하는 플라즈마 강화 화학기상증착용 샤워헤드 어셈블리에 있어서,상기 공정챔버의 상단 측벽사이를 횡단하며 중앙에 가스 유입구가 구비되는 백킹 플레이트와;다수의 분사홀이 설치되어 상기 백킹 플레이트의 저면과 일정간격 이격되는 중앙부와, 요부가 형성된 주변부를 갖는 샤워헤드를포함하는 플라즈마 강화 화학기상증착용 샤워헤드 어셈블리.
- 제 1항에 있어서,상기 백킹 플레이트와 전기적으로 연결되는 상기 샤워헤드 주변부가 상기 중앙부에 비하여 얇게 형성되는 것을 특징으로 하는 샤워헤드 어셈블리.
- 공정물질이 증착되는 기판이 내부로 유입되는 공정챔버의 상단에 설치되어, 상기 공정챔버 내부로 가스를 공급하는 LCD용 플라즈마 강화 화학기상증착 장치용 샤워헤드 어셈블리에 있어서,상기 공정챔버의 상단 측벽사이를 횡단하며 중앙에 가스 유입구가 구비되는 백킹 플레이트와;상기 백킹 플레이트의 저면과 일정간격 이격되며 다수의 분사홀이 구비되는 중앙부와, 서브히터를 구비한 주변부를 가지는 샤워헤드를포함하는 LCD용 플라즈마 강화 화학기상증착 장치의 샤워헤드 어셈블리.
- 제 3항에 있어서,상기 서브 히터는 그 중심에 가열선이 형성되고, 그 외주면으로 각각 절연 코어와 상기 절연 코어의 외주면을 둘러싸는 금속 쉬스(sheath)로 구성되는 내부 실드 및 외부 실드로 구성되는LCD용 플라즈마 강화 화학기상증착 장치의 샤워헤드 어셈블리.
- 제 4항에 있어서,상기 내부 실드 및 상기 외부 실드의 절연코어는 산화마그네슘(MgO)으로 제조되는 것을 특징으로 하는LCD용 플라즈마 강화 화학기상증착 장치의 샤워헤드 어셈블리.
- 제 3항 내지 제 5항 중 어느 한 항에 있어서,상기 백킹 플레이트와 전기적으로 연결되는 상기 샤워헤드 주변부가 상기 중앙부에 비하여 얇게 형성되는 것을 특징으로 하는 샤워헤드 어셈블리.
- 제 3항 또는 제 6항 중 어느 한 항에 있어서,상기 백킹 플레이트와 전기적으로 연결되는 상기 샤워헤드의 주변부가 중앙부에 비하여 얇은 형상인 것을 특징으로 하는 샤워헤드 어셈블리.
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020030032452A KR100965758B1 (ko) | 2003-05-22 | 2003-05-22 | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
TW093114479A TWI355674B (en) | 2003-05-22 | 2004-05-21 | Showerhead assembly and apparatus for manufacturin |
CNB2004100424749A CN100421214C (zh) | 2003-05-22 | 2004-05-21 | 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备 |
US10/852,929 US20050000430A1 (en) | 2003-05-22 | 2004-05-24 | Showerhead assembly and apparatus for manufacturing semiconductor device having the same |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020030032452A KR100965758B1 (ko) | 2003-05-22 | 2003-05-22 | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20040100196A true KR20040100196A (ko) | 2004-12-02 |
KR100965758B1 KR100965758B1 (ko) | 2010-06-24 |
Family
ID=33550141
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020030032452A KR100965758B1 (ko) | 2003-05-22 | 2003-05-22 | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20050000430A1 (ko) |
KR (1) | KR100965758B1 (ko) |
CN (1) | CN100421214C (ko) |
TW (1) | TWI355674B (ko) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100891614B1 (ko) * | 2007-04-10 | 2009-04-08 | 주식회사 에스에프에이 | 평면디스플레이용 화학기상 증착장치 |
WO2009116780A2 (ko) * | 2008-03-17 | 2009-09-24 | 주식회사 아이피에스 | 진공처리장치 |
KR100981039B1 (ko) * | 2008-05-20 | 2010-09-10 | 주식회사 테스 | 가스 공급 어셈블리 |
KR200457817Y1 (ko) * | 2009-12-28 | 2012-01-05 | 주식회사 케이씨텍 | 원자층 증착장치의 샤워헤드 유닛 |
US9200368B2 (en) | 2004-05-12 | 2015-12-01 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
KR20160107687A (ko) * | 2015-03-05 | 2016-09-19 | 주성엔지니어링(주) | 기판 처리장치에 구비되는 샤워헤드 |
CN116759350A (zh) * | 2023-08-22 | 2023-09-15 | 宁波润华全芯微电子设备有限公司 | 一种晶圆腔盖快拆装置 |
Families Citing this family (105)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100490049B1 (ko) * | 2003-04-14 | 2005-05-17 | 삼성전자주식회사 | 일체형 디퓨저 프레임을 가지는 cvd 장치 |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
KR101063737B1 (ko) | 2004-07-09 | 2011-09-08 | 주성엔지니어링(주) | 기판 제조장비의 샤워헤드 |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US20060237556A1 (en) * | 2005-04-26 | 2006-10-26 | Spraying Systems Co. | System and method for monitoring performance of a spraying device |
US20070210182A1 (en) * | 2005-04-26 | 2007-09-13 | Spraying Systems Co. | System and Method for Monitoring Performance of a Spraying Device |
CN100405537C (zh) * | 2005-12-07 | 2008-07-23 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体反应装置 |
US20080000424A1 (en) * | 2006-06-29 | 2008-01-03 | Aviza Technology, Inc. | Showerhead for a Gas Supply Apparatus |
KR101206725B1 (ko) * | 2006-07-26 | 2012-11-30 | 주성엔지니어링(주) | 서로 다른 전위면 사이의 갭에 완충 절연재가 삽입된기판처리장치 |
US20080063798A1 (en) * | 2006-08-30 | 2008-03-13 | Kher Shreyas S | Precursors and hardware for cvd and ald |
US8733279B2 (en) * | 2007-02-27 | 2014-05-27 | Applied Materials, Inc. | PECVD process chamber backing plate reinforcement |
WO2008110547A1 (en) * | 2007-03-12 | 2008-09-18 | Aixtron Ag | Novel plasma system for improved process capability |
JP5285403B2 (ja) * | 2008-04-15 | 2013-09-11 | 東京エレクトロン株式会社 | 真空容器およびプラズマ処理装置 |
EP2294244B1 (en) * | 2008-05-28 | 2016-10-05 | Aixtron SE | Thermal gradient enhanced chemical vapour deposition. |
US8161906B2 (en) * | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
SG10201602599XA (en) * | 2011-03-04 | 2016-05-30 | Novellus Systems Inc | Hybrid ceramic showerhead |
CN104380435B (zh) * | 2012-05-29 | 2018-04-06 | 周星工程股份有限公司 | 基板加工装置及基板加工方法 |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
DE102012021729B3 (de) | 2012-11-05 | 2013-12-05 | Universitätsklinikum Freiburg | Vorrichtung zum Ablösen wandständiger Thromben aus einem Körpergefäß |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
TWI627305B (zh) * | 2013-03-15 | 2018-06-21 | 應用材料股份有限公司 | 用於轉盤處理室之具有剛性板的大氣蓋 |
CN103320852A (zh) * | 2013-06-14 | 2013-09-25 | 光垒光电科技(上海)有限公司 | 用于外延沉积的反应腔 |
US9677176B2 (en) * | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
JP6339866B2 (ja) * | 2014-06-05 | 2018-06-06 | 東京エレクトロン株式会社 | プラズマ処理装置およびクリーニング方法 |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN107090575B (zh) * | 2016-02-17 | 2019-04-23 | 北京北方华创微电子装备有限公司 | 一种均流装置及反应腔室 |
US10373810B2 (en) * | 2016-02-21 | 2019-08-06 | Applied Materials, Inc. | Showerhead having an extended detachable gas distribution plate |
CN109068901B (zh) * | 2016-04-22 | 2020-12-01 | 三菱化学可菱水株式会社 | 喷淋头 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) * | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
FR3061914B1 (fr) * | 2017-01-16 | 2019-05-31 | Kobus Sas | Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
KR102431354B1 (ko) | 2017-07-11 | 2022-08-11 | 삼성디스플레이 주식회사 | 화학기상 증착장치 및 이를 이용한 표시 장치의 제조 방법 |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10907252B2 (en) * | 2017-10-23 | 2021-02-02 | Applied Materials, Inc. | Horizontal heat choke faceplate design |
CN111433902A (zh) | 2017-12-08 | 2020-07-17 | 朗姆研究公司 | 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
KR102560283B1 (ko) * | 2018-01-24 | 2023-07-26 | 삼성전자주식회사 | 샤워 헤드를 설계하고 제조하는 장치 및 방법 |
US20190226087A1 (en) * | 2018-01-24 | 2019-07-25 | Applied Materials, Inc. | Heated ceramic faceplate |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10580645B2 (en) | 2018-04-30 | 2020-03-03 | Asm Ip Holding B.V. | Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN111385955B (zh) * | 2018-12-28 | 2022-08-23 | 中微半导体设备(上海)股份有限公司 | 一种等离子体处理器的安装结构及相应的等离子体处理器 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN110016656B (zh) * | 2019-05-23 | 2020-11-24 | 深圳市华星光电技术有限公司 | 化学气相沉积腔室 |
CN112542370B (zh) * | 2019-09-23 | 2024-04-05 | 中微半导体设备(上海)股份有限公司 | 一种等离子体处理器及其加热器组件 |
TW202115815A (zh) * | 2019-10-04 | 2021-04-16 | 美商應用材料股份有限公司 | 用於易碎板以防止破裂的氣體分配組件安裝 |
US20210238746A1 (en) * | 2020-02-03 | 2021-08-05 | Applied Materials, Inc. | Showerhead assembly |
US11242600B2 (en) * | 2020-06-17 | 2022-02-08 | Applied Materials, Inc. | High temperature face plate for deposition application |
KR20220081905A (ko) | 2020-12-09 | 2022-06-16 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 증착용 실리콘 전구체 |
CN114875387B (zh) * | 2022-03-29 | 2023-11-17 | 江苏微导纳米科技股份有限公司 | 薄膜沉积装置及其布气机构 |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4638150A (en) * | 1984-07-19 | 1987-01-20 | Raychem Corporation | Modular electrical heater |
US5383984A (en) * | 1992-06-17 | 1995-01-24 | Tokyo Electron Limited | Plasma processing apparatus etching tunnel-type |
US5558717A (en) * | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5882411A (en) * | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
KR100302609B1 (ko) * | 1999-05-10 | 2001-09-13 | 김영환 | 온도가변 가스 분사 장치 |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6857387B1 (en) * | 2000-05-03 | 2005-02-22 | Applied Materials, Inc. | Multiple frequency plasma chamber with grounding capacitor at cathode |
TWI297510B (ko) * | 2000-09-08 | 2008-06-01 | Tokyo Electron Ltd | |
JP4815724B2 (ja) * | 2000-09-08 | 2011-11-16 | 東京エレクトロン株式会社 | シャワーヘッド構造及び成膜装置 |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
-
2003
- 2003-05-22 KR KR1020030032452A patent/KR100965758B1/ko active IP Right Grant
-
2004
- 2004-05-21 CN CNB2004100424749A patent/CN100421214C/zh not_active Expired - Fee Related
- 2004-05-21 TW TW093114479A patent/TWI355674B/zh active
- 2004-05-24 US US10/852,929 patent/US20050000430A1/en not_active Abandoned
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9200368B2 (en) | 2004-05-12 | 2015-12-01 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
KR100891614B1 (ko) * | 2007-04-10 | 2009-04-08 | 주식회사 에스에프에이 | 평면디스플레이용 화학기상 증착장치 |
WO2009116780A2 (ko) * | 2008-03-17 | 2009-09-24 | 주식회사 아이피에스 | 진공처리장치 |
WO2009116780A3 (ko) * | 2008-03-17 | 2009-12-30 | 주식회사 아이피에스 | 진공처리장치 |
KR100970201B1 (ko) * | 2008-03-17 | 2010-07-14 | 주식회사 아이피에스 | 진공처리장치 |
KR100981039B1 (ko) * | 2008-05-20 | 2010-09-10 | 주식회사 테스 | 가스 공급 어셈블리 |
KR200457817Y1 (ko) * | 2009-12-28 | 2012-01-05 | 주식회사 케이씨텍 | 원자층 증착장치의 샤워헤드 유닛 |
KR20160107687A (ko) * | 2015-03-05 | 2016-09-19 | 주성엔지니어링(주) | 기판 처리장치에 구비되는 샤워헤드 |
CN116759350A (zh) * | 2023-08-22 | 2023-09-15 | 宁波润华全芯微电子设备有限公司 | 一种晶圆腔盖快拆装置 |
CN116759350B (zh) * | 2023-08-22 | 2023-11-17 | 宁波润华全芯微电子设备有限公司 | 一种晶圆腔盖快拆装置 |
Also Published As
Publication number | Publication date |
---|---|
CN1574229A (zh) | 2005-02-02 |
CN100421214C (zh) | 2008-09-24 |
TWI355674B (en) | 2012-01-01 |
US20050000430A1 (en) | 2005-01-06 |
TW200504800A (en) | 2005-02-01 |
KR100965758B1 (ko) | 2010-06-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100965758B1 (ko) | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 | |
KR100492135B1 (ko) | 페이스플레이트, 그 페이스플레이트를 포함하는 반응기 | |
KR100253134B1 (ko) | 기판처리장치 | |
US5885356A (en) | Method of reducing residue accumulation in CVD chamber using ceramic lining | |
US5653808A (en) | Gas injection system for CVD reactors | |
US8636871B2 (en) | Plasma processing apparatus, plasma processing method and storage medium | |
KR20030043005A (ko) | 웨이퍼 서셉터 | |
KR20040096785A (ko) | 양극처리된 기판 지지부 | |
WO2009009607A1 (en) | Apparatus and method for processing a substrate edge region | |
JP2000058518A (ja) | 基板処理装置 | |
WO2021087002A1 (en) | Process kit for improving edge film thickness uniformity on a substrate | |
KR100592682B1 (ko) | 표시장치용 기판 제조장비 및 그 가스분사장치 | |
US20050034673A1 (en) | Apparatus having edge frame and method of using the same | |
KR20070036844A (ko) | 반도체 및 액정표시 장치 제조용 플라즈마 화학 증착 챔버 | |
KR20030066118A (ko) | 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치 | |
KR101039524B1 (ko) | 플라즈마 처리 장치 | |
JPS592374B2 (ja) | プラズマ気相成長装置 | |
KR102224586B1 (ko) | 처리 챔버들을 위한 코팅 재료 | |
KR20040034907A (ko) | 박막 증착 속도를 조절하는 샤워헤드를 구비한 화학 기상증착 장치. | |
KR100698504B1 (ko) | 화학 기상 증착 장치 | |
JP4890313B2 (ja) | プラズマcvd装置 | |
KR101410820B1 (ko) | 상부 전극 조립체 및 이를 포함하는 박막 처리 장치 | |
KR101137691B1 (ko) | 에지프레임 및 이를 포함하는 기판처리장치 | |
JPH06151411A (ja) | プラズマcvd装置 | |
KR101381208B1 (ko) | 박막처리장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E90F | Notification of reason for final refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130410 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20140402 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20150512 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20160616 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20180403 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20190328 Year of fee payment: 10 |