KR100492135B1 - 페이스플레이트, 그 페이스플레이트를 포함하는 반응기 - Google Patents

페이스플레이트, 그 페이스플레이트를 포함하는 반응기 Download PDF

Info

Publication number
KR100492135B1
KR100492135B1 KR1019970053881A KR19970053881A KR100492135B1 KR 100492135 B1 KR100492135 B1 KR 100492135B1 KR 1019970053881 A KR1019970053881 A KR 1019970053881A KR 19970053881 A KR19970053881 A KR 19970053881A KR 100492135 B1 KR100492135 B1 KR 100492135B1
Authority
KR
South Korea
Prior art keywords
faceplate
showerhead
grooves
gas
reactor
Prior art date
Application number
KR1019970053881A
Other languages
English (en)
Other versions
KR19980033001A (ko
Inventor
자오 준
쉬레이버 알렉스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR19980033001A publication Critical patent/KR19980033001A/ko
Application granted granted Critical
Publication of KR100492135B1 publication Critical patent/KR100492135B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

본 발명은 CVD 증착되는 웨이퍼에 면하고 웨이퍼를 향하여 처리 가스를 분사하기 위한 다수의 제트 구멍을 구비한 샤워헤드 전극을 갖추고 있는 플라즈마 화학기상 증착용 반응기에 관한 것이다. 두 개의 깊은 홈이 상기 제트 구멍을 포함하는 샤워헤드 영역 둘레에 형성된다. 이 홈들은 샤워헤드의 대향하는 양측 면으로부터 형성되고 서로 반경 방향으로 어긋나 있어, 상기 샤워헤드 본체 내에서 그들 사이에서 얇은 벽을 형성한다. 이 얇은 벽이 열 초크로서 작용하고, 이에 따라 샤워헤드 지지체로의 열 유동을 감소시키고, 샤워헤드 면에 걸쳐 온도 분포를 더 균일하게 한다. 상기 얇은 벽은 기계식 벨로우즈로도 작용하여 상기 샤워헤드와 그 지지체 사이에서 열 팽창의 차이를 수용한다.

Description

화학 증착 플라즈마 반응기 내 페이스플레이트 열 초크
본 발명은 반도체 집적회로와 같은 기판의 플라즈마 처리를 위한 장치, 특히 화학 기상 증착을 위한 플라즈마 반응기에서의 가스 유동에 관련된 구성요소에 관한 것이다.
화학 기상 증착(CVD)은 반도체 집적회로 및 기판 상에 형성되는 다른 층 구조물을 제조하는데 널리 공지된 방법이다. CVD에서, 반도체 웨이퍼 또는 기타 기판은 진공 챔버 내의 감소된 압력에서 선구 가스(precursor gas)에 노출된다. 선구 가스는 웨이퍼의 표면에서 반응하여 웨이퍼 상에 성분을 증착시킨다. 예를 들어 실란(SiH4)은 실리콘을 증착하기 위해 선구 가스로서 종종 사용되고, TEOS(테트라에틸올소실리케이트)는 실리콘 이산화물에 대해 종종 사용된다. 이 반응을 진행시키는데는 두 가지 중요한 방식이 있다. 만약 웨이퍼가 충분한 온도로 가열된다면, 반응은 열적으로 활성화된다. 그러나 많은 경우에 있어서, 효율적인 열 활성화에 필요한 온도는 매우 높은 것으로 고려된다. 다른 방법, 소위 플라즈마 CVD 즉 PECVD에서, 선구 가스를 플라즈마 여기시키는 데는 전기 수단이 사용된다. 플라즈마는 선구 가스 및 그 성분의 이온 및/또는 라디컬을 생성하며, 이들은 훨씬 용이하게 반응한다. 이에 따라 웨이퍼의 온도는 매우 낮게 유지될 수 있다.
PECVD 반응 챔버의 실례는 즈하오(Zhao) 등의 미국특허 제 5,587,171호에 기술되어 있다. 이 형태의 CVD 반응기는 DxZ 챔버란 이름으로 미국 캘리포니아 산타클라라에 있는 어플라이드 머티어리얼스 인코포레이티드에서 시판되고 있다. 이 특허의 CVD 반응기는 도 1에 단면도로 나타나 있다. 도시되지 않은 웨이퍼는 처리되는 동안 받침대(10) 상에서 지지되는데, 이 받침대는 하부 챔버 본체(14)와 하부 챔버 본체(14) 안의 세라믹 링(16) 내 슬릿 밸브 개구(12)를 통해 진공 챔버 안팎으로 웨이퍼를 장착 및 인출하기 위해 하강될 수 있다.
증착하는 동안, 선구 가스(18)는 웨이퍼를 덮고 있는 중앙 공급 분배 장치를 통해 유동되고 알루미늄과 같은 전도성 금속으로 구성된 페이스플레이트(22) 내의 다수(수천개)의 제트 구멍(20)을 통해 유동된다. 상기 가스 분배 장치는 쉬나이더(Schneider) 등의, 원뿔형 돔을 갖춘 유도식으로 연결된 평행판 플라즈마 반응기(INDUCTIVELY COUPLED PARALLEL-PLATE PLASMA REACTOR WITH A CONICAL DOME)란 명칭의 1996년 10월 18일자로 제출된 미국 특허출원에 기술되어 있다. 제트 구멍(20)을 포함하는 페이스플레이트(22)의 전면 부분은 샤워헤드(24)로 명명된다. 도시된 바와 같이, 처리하는 동안, 샤워헤드(24)는 웨이퍼에 대향하여 근접하고, 그 구멍 뚫린 영역은 실질적으로 웨이퍼의 면적과 동일하게 연장된다. 처리 가스는 샤워헤드 구멍(20)을 통하며, 웨이퍼를 거치고, 이어서 환형 펌핑 채널(26)로 대체로 변경방향 바깥쪽으로 유동되어, 처리 공정 동안 받침대(10)의 상부 에지를 전체적으로 에워싼다. 사용된 가스는 펌핑 채널(26) 내의 제한부(restriction, 28)를 통해 배기 매니폴드(29)로 배기된다. 밸브(30)는 배기가스를 위해 배출부(32)로의 통로를 제공하며, 이 가스는 예시되지 않은 진공 펌프 장치에 의해 펌핑된다.
페이스플레이트(22) 및 관련 부품은 뚜껑 구조물(lid frame, 34)에서 유지되며, 뚜껑 구조물은 O링(36)에 의해 하부 챔버 본체(14)에 밀봉된다. 뚜껑 구조물(34)은, 사용자들이 챔버 내부를 수리할 수 있도록, 도시되지 않은 수평 힌지 둘레를 선회하고 따라서 하부 챔버 본체(14)로부터 상승될 수 있다. 도시된 바와 같이, 펌핑 채널(26)은 뚜껑 구조물(34), 하부 챔버 본체(14), 및 챔버 본체(14) 상의 제 1 세라믹 링(16)을 통해 지지되는 제 2 세라믹 링(38) 사이에서 형성되지만, 주로 뚜껑을 따라 연장된다.
도시된 반응기는 플라즈마 반응기로서 사용된다. 받침대(10)는 접지되는 것이 보통이며, 한편 페이스플레이트(22)에 전기적 및 기계적으로 고정되는 커버(40)는 RF 동력 공급부(42)에 연결된다. 이에 따라, 처리 공간(44)은 샤워헤드(24)와 받침대(10)로 구성되는 RF 피구동 전극에 의해 에워싸여진다. 충분한 RF동력이 인가되어, 샤워헤드(24)와 받침대(10) 사이 처리 공간(44) 내의 처리 가스가 플라즈마 내로 여기되어 웨이퍼 표면 상에서 CVD 반응을 활성화시킨다. 이에 따라, 상대적으로 낮은 온도에서 반응이 수행될 수 있어서, 형성되는 집적회로의 열수지에 대한 영향을 적게 한다.
하부 챔버 본체(14)는 통상 알루미늄과 같은 금속으로 제조되며, 안전을 위해 전기적으로 접지된다. 환형 절연체(annular isolator, 46)는, 전기적으로 연결되는 하부 챔버 본체(14) 및 뚜껑 구조물(34)로부터 RF 피구동 페이스플레이트(24)를 전기적으로 절연시킨다. 절연체(46)는 알루미나와 같은 세라믹 재료 또는 테프론과 같은 강한 플라스틱으로 형성되는데, 이들은 모두 전기 절연성이 우수하다.
도 1의 챔버는 200mm(8인치)의 웨이퍼에 대해 디자인되었다. 이들 챔버를 300mm(12인치)에 적합하도록 확대시킨다면, 기본 디자인의 개선 기회가 될 뿐만 아니라 다른 문제를 발생시키기도 한다.
도 1의 반응기에서는 페이스플레이트(22)와 그 샤워헤드(24)의 온도가 정확하게 제어되지 않는다. 받침대(10)는 저항 코일에 의해 활성적으로 가열되지만, 페이스플레이트(22)는 활성적으로 가열되거나 냉각되지 않는다. 샤워헤드(24)의 온도는 플라즈마에 의한 충돌 가열 및 받침대(10)에 의한 복사 가열 때문에 약 200℃인 것으로 산정된다. 받침대(10)와 샤워헤드(24) 사이의 온도는 균형을 유지하려는 경향이 있지만, 샤워헤드 열의 일부는 페이스플레이트(22)의 외부와 상부를 통해 뚜껑 구조물(34), 그리고 결국에는 하부 챔버 본체(14), 또 주위에 부착된 다른 부품으로도 이동(sink)된다.
이러한 온도는 한계치가 아니더라도, 페이스플레이트(22), 뚜껑 구조물(34), 챔버 본체(14) 및 다른 부품을 밀봉하는 O 링 시일 내의 수명 및 신뢰성에 문제를 일으킨다. 이에 따라 페이스플레이트(22) 뒷면의 온도를 감소시키는 것이 바람직하다.
샤워헤드 원주의 열싱크는 적어도 두 가지 관련 문제를 발생시킨다. 실질적으로, 열 생성은 샤워헤드 면적에 대해 거의 균일하며, 열은 열 전도 조건이 거위 균일한 통로를 거쳐 더 낮은 온도의 원주 영역으로 유동한다. 그 결과, 샤워헤드(24)의 중앙은 원주에 인접한 부분보다 온도가 높다. 반경 방향 온도가 불균일하면 증착 속도의 균일성에 영향을 미치고, 또 샤워헤드(24)에 열 응력을 도입시킨다. 열 응력은 샤워헤드(24)가 구부러지게 하고, 그 결과로 생기는 처리 공간의 가변 갭 크기는, 증착에 있어 플라즈마를 불균일하게 하거나, 다른 불균일성 발생원을 도입시킨다. 이러한 온도 불균일성은 웨이퍼가 크면 더 악화된다.
본 발명은 전술한 종래기술의 문제를 해결하기 위한 것이다.
이를 위해, 본 발명은 통상 다수의 가스 제트 구멍을 포함하여 샤워헤드를 형성하는 페이스플레이트의 중앙 영역을 에워싸는 2개의 외주 홈을 가지는 플라즈마 반응기용 페이스플레이트를 제공한다. 홈은 페이스플레이트의 대향면으로부터 형성되고, 측면의 평면 방향으로 서로 어긋나 있고, 자신들 사이에 얇은 벽을 생성하기에 충분히 깊도록 형성된다. 이 벽은 열 초크로서 작용하여, 지지체와 진공 시일로부터 샤워헤드를 열적으로 더욱 효과적으로 절연하도록 한다. 또한 열 팽창을 수용하기 위한 기계적 벨로우즈로도 작용한다.
본 발명은 페이스플레이트의 샤워헤드 부분을 위한 열 초크 및 기계 벨로우즈를 제공하여, 샤워헤드를 낮은 온도의 지지체로부터 더욱 우수하게 열적으로 절연하고 열팽창의 차이를 수용한다. 도시된 반응기는 300mm의 웨이퍼를 위해 디자인하였지만, 도 1의 200mm 챔버의 많은 특징이 채용되어 있다.
본 발명의 신규한 페이스플레이트(100) 한 측면에 대한 도 2의 단면도에 도시된 바와 같이, 샤워헤드(102)는 다수의 제트 구멍(jetting holes; 104)을 포함하는데, 몇 개만이 개략적으로 도시되어 있다. 샤워헤드(102)는 처리 영역(108)을 가로질러 받침대(106)와 면한다. 도시되지는 않은 웨이퍼는, 구멍(104)을 통해 처리 면적(108)으로 분사되는 처리 가스에 의한 CVD증착을 위해, 받침대(106)의 리세스(110) 내에 지지된다. 도시되지 않은 전열기가 웨이퍼를 최적 증착 온도로 가열하도록 받침대(106) 내에 유지된다.
샤워헤드(102)를 포함하는 페이스플레이트(100)는, 샤워헤드(102)의 뒷면 및 반경방향 바깥쪽으로 연장되는 페이스플레이트 플랜지(116)를 통해 뚜껑 구조물(114) 위에 지지된다. L자 모양의 환형 절연체(118)는, 접지된 뚜껑 구조물(114)로부터 전기적으로 바이어스된 페이스플레이트(100)를 전기적으로 절연시키도록, 플레임 뚜껑(114)과 페이스플레이트 플랜지(116) 사이에 배치된다. O링은 페이스플레이트 플랜지(116), 절연체(118) 및 뚜껑 구조물(114)을 진공 밀봉하도록 2개의 O링 홈(120, 122)에 맞추어진다. 가스 유입 매니폴드를 위한 커버(124)는 페이스플레이트 플랜지(116)의 상부 측면 상에 지지되고 O링 홈(126)에서 O링에 의해 밀봉된다. 커버(124)는 페이스플레이트에 전기적 및 기계적으로 고정되고, RF 전원에 의해 선택적으로 전기적으로 바이어스된다. 뚜껑 구조물(114)은 O링 홈(128) 내의 O링에 의해 바닥 챔버 본체(14)에 대해 밀봉된다. 상술한 바와 같이, 이들 O링이 노출되는 온도를 감소시키는 것이 바람직하다.
원통형 행거 벽(130)은 샤워헤드(102)와 페이스플레이트(116) 사이에서 수직으로 연장되며, 샤워헤드(102)보다 얇으며 수평으로 연장되는 림(132)을 통해 샤워헤드(102)에 연결된다. 림(132)의 수평 범위는 도 1의 반응기의 대응부보다 얇은 행거 벽(130)에 의해 수용된다.
열 초크와 팽창 벨로우즈는, 제트 구멍(104) 영역 바깥쪽 페이스플레이트(100)의 림(132) 내에 형성된 2개의 깊은 원주 홈(140, 142)에 의해 작동된다. 홈(140, 142)은 서로 반경방향으로 어긋나고, 림(132)의 대향면으로부터 림(132) 두께의 거의 반 이상인 깊이로 가공된다. 그 결과, 얇은 환형 벽(146)이 홈(132, 134) 사이에 형성된다. 구조물의 기계 강도는 페이스플레이트 림(132)의 상부로부터 내측 홈(140)을 가공하고 바닥으로부터 외측 홈(142)을 가공함으로써 얇은 벽(146)을 인장 상태(in tension)로 유지함에 따라 증가된다. 본 발명의 특정 실시예에서, 홈(140, 142)은 림(132)의 각 측면을 통해 림의 약 2/3까지 연장되고, 폭이 80밀(2mm)이며, 얇은 벽(146)내에 80밀(2mm)의 두께를 형성하도록 반경방향으로 치우친다. 홈과 벽 폭에 대한 크기의 범위는 40∼160밀(1∼4mm)이 바람직하다.
이 구조는 열 초크 및 팽창 벨로우즈로서의 적어도 두 가지 바람직한 기능을 달성한다. 샤워헤드(102)로부터 행거 벽(130)과 페이스플레이트 플랜지(116)로의 열 경로는 얇은 벽(146)을 통하는데, 이 벽은 기계적 지지에 요구되는 페이스플레이트(116)의 다른 부분보다 더 얇다. 얇은 벽(146)을 통한 열 경로가 얇기 때문에, 이 얇은 벽(146)에서의 열 저항이 커지는데, 이 열 저항은 샤워헤드(102) 및 행거 벽(130)과 페이스플레이트 플랜지(116)의 저항보다 크다. 그 결과, 샤워헤드(102) 또는 행거 벽(130)과 페이스플레이트 플랜지(116)의 조합에 걸친 온도차보다 얇은 벽(146)에 걸친 온도차가 더 크게 된다. 이에 따라, 샤워헤드(102)는 온도 분포를 상대적으로 균일하여, 증착의 균일성을 촉진시킨다. 또 보다 낮은 온도로의 열싱크인, 페이스플레이트 플랜지(116)와 행거 벽(146)을 가로지르는 대응되는 더 작은 온도 강하는, 홈(120, 122, 126, 128) 내의 O링이 샤워헤드(102)의 온도인 200℃보다 훨씬 낮은 온도에 노출되어 있음을 의미한다.
샤워헤드(102) 내의 온도 분포가 보다 균일하다는 것은 열 응력 차이 및 그에 기인하는 굽혀짐(bowing)이 더 적음을 의미한다. 굽혀짐은 웨이퍼 전체에 걸친 증착 불균일성의 또 하나의 원인이다.
홈(140, 142) 주변의 금속 페이스플레이트(100)의 연속성은, 용이한 핸들 부재를 제공하고 또 처리 영역(108) 내에서 플라즈마를 여기시키기 위해 인가되는 RF 전력을 위해 페이스플레이트 플랜지(116)로부터 샤워헤드(102)로의 전기 접촉을 제공한다.
깊은 홈(140, 142) 및 관련된 얇은 벽(146)에 의한 열 초크에 걸친 큰 온도 차이로 인해, 고온의 샤워헤드(102)는 보다 차가운 행거 벽(130)에 대해 팽창될 것이다. 그러나 얇은 벽(146)은 두께보다 길이가 훨씬 더 길다. 이에 따라 상기 벽은 페이스플레이트(100)의 반경 방향으로 휘어지고 굽혀져서, 열변형을 수용하면서, 샤워헤드(102)의 위치에 미치는 영향을 최소로 한다. 즉 2개의 홈(140, 142)은 수직 지지 및 진공 밀봉을 제공하는 한편 수평 운동을 허용하는 기계 벨로우즈로서 작용한다. 열 응력 하에서 샤워헤드(102)의 기계적 안정성이 개선되어 플라즈마 및 증착 균일성을 향상시킨다.
펌핑 채널(150)은 예를 들어 절연체(122)의 뒷면에서 뚜껑 구조물(118), 하부 챔버 벽(14) 및 세라믹 링(16)에 의해 형성된다. 채널 라이너(channel liners)는 펌핑 채널(150)의 벽 상에 배치될 수 있고, 그 정확한 배치는 증착 공정 및 가스 유동을 최적화시킬 수 있다.
본 발명을 CVD 반응기와 관련하여 설명하였지만, 유사한 페이스플레이트의 디자인이 에칭 반응기 특히 플라즈마 에칭기에도 적용될 수 있다.
전술한 구성의 본 발명에 의하면, 열 구배와 기계적 변형을 완화함으로써 증착을 더 균일하게 하며, 이러한 개선은 기존 디자인의 간단한 변형에 의해 이룰 수 있다.
도 1은 종래 기술의 CVD 반응기의 단면도,
도 2는 본 발명의 한 실시예에 따른 CVD 반응기의 일부분을 도시한 단면도.
* 도면의 주요 부분에 대한 부호의 설명 *
100: 페이스플레이트(faceplate) 102: 샤워헤드
104: 제트 구멍 106: 받침대(pedestal)
114: 뚜껑 구조물 116: 페이스플레이트 플랜지
118: L 형 환형 절연체 120, 122, 132, 134: O링 홈
130: 림

Claims (11)

  1. 대체로 원형인 판;
    상기 원형 판의 중앙 원형 부분 내에서 상기 원형 판을 거의 수직으로 통과하는 다수의 구멍; 및
    상기 중앙의 원형 부분을 둘러싸는 제 1 및 제 2 원형 홈으로서, 반경방향으로 서로 어긋나 있고, 각각 상기 원행 판을 반을 넘게 관통하여, 상기 원형 판 내에서 자신들 사이에 벽을 형성하는 제 1 및 제 2 원형 홈을 포함하는 가스 페이스 플레이트.
  2. 제 1 항에 있어서, 환형 플랜지 및 상기 원형 판의 제 1 측면에 상기 플랜지를 연결시키는 벽을 더 포함하고, 상기 제 1 홈이 상기 원형 판의 제 1 측면에 형성되며 상기 제 2 홈의 반경방향 안쪽에 있는 가스 페이스플레이트.
  3. 제 1 항에 있어서, 상기 홈의 폭이 1∼4mm 범위인 가스 페이스플레이트.
  4. 진공 챔버;
    기판을 상기 진공 챔버 내에 고정하는 받침대;
    상기 챔버의 한쪽 면에 배치되고, 처리 가스가 받침대를 향하게 하기 위해 다수의 제트 구멍이 안에 관통되어 형성되어 있는 가스 페이스플레이트; 및
    상기 처리 가스를 가스 페이스플레이트의 뒷면에 공급하기 위해, 상기 페이스플레이트의 상기 받침대로부터 먼 쪽 면에 배치되는 가스 분배 시스템을 포함하고,
    상기 가스 페이스플레이트의 양쪽에는 2개 이상의 홈이 형성되며, 이들 홈은 상기 제트 구멍을 둘러싸며, 상기 페이스플레이트 면 내에서 서로 어긋나 있고, 상기 페이스플레이트를 통해 각각 충분한 거리로 연장되어 그들 사이에서 그들의 깊이를 따라 연장되는 벽을 형성하는 기판 처리 반응기.
  5. 제 4 항에 있어서, 상기 홈들 중 제 1 홈이, 상기 페이스플레이트의 뒷면에 형성되며 상기 홈들 중 제 2 홈과 상기 제트 구멍 사이에 배치되는 기판 처리 반응기.
  6. 제 4 항에 있어서, 챔버 본체 및 이 챔버 본체에 대해 해체와 밀봉이 가능한 뚜껑을 상기 진공 챔버가 구비하고,
    상기 페이스플레이트가 상기 뚜껑에 부착되는 기판 처리 반응기.
  7. 제 6 항에 있어서, 상기 뚜껑에 부착 가능한 플랜지, 및 상기 뚜껑에서 벗어나서 상기 플랜지로부터, 상기 홈과 상기 제트 구멍을 구비하는 상기 페이스플레이트의 일부를 향해 연장되는 벽을 상기 페이스플레이트가 구비하는 기판 처리 반응기.
  8. 제 7 항에 있어서, 상기 홈들 중 제 1 홈은, 상기 페이스플레이트의 뒷면에 형성되며, 상기 홈들 중 제 2 홈과 상기 제트 구멍 사이에 형성되는 기판 처리 반응기.
  9. 제 4 항에 있어서, 상기 제트 구멍을 포함하는 상기 페이스플레이트의 일부 영역과 상기 받침대 사이의 처리 공간에서 플라즈마를 형성하도록, 상기 페이스플레이트와 상기 받침대 사이를 연결할 수 있는 RF 전원을 더 포함하는 기판 처리 반응기.
  10. 제 4 항에 있어서, 상기 반응기가 플라즈마 화학 기상 증착용으로 구성되는 기판 처리 반응기.
  11. 제 4 항에 있어서, 상기 홈과 상기 벽의 폭이 1∼4mm 범위인 기판 처리 반응기.
KR1019970053881A 1996-10-21 1997-10-21 페이스플레이트, 그 페이스플레이트를 포함하는 반응기 KR100492135B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/735,386 US5882411A (en) 1996-10-21 1996-10-21 Faceplate thermal choke in a CVD plasma reactor
US08/735,386 1996-10-21
US8/735,386 1996-10-21

Publications (2)

Publication Number Publication Date
KR19980033001A KR19980033001A (ko) 1998-07-25
KR100492135B1 true KR100492135B1 (ko) 2005-09-02

Family

ID=24955564

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970053881A KR100492135B1 (ko) 1996-10-21 1997-10-21 페이스플레이트, 그 페이스플레이트를 포함하는 반응기

Country Status (3)

Country Link
US (1) US5882411A (ko)
JP (1) JP4371442B2 (ko)
KR (1) KR100492135B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210105139A (ko) 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치
KR20220067696A (ko) 2020-11-18 2022-05-25 (주)포인트엔지니어링 가스 공급부재 및 이를 구비한 기판처리장치

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6786935B1 (en) * 2000-03-10 2004-09-07 Applied Materials, Inc. Vacuum processing system for producing components
US6451390B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Deposition of TEOS oxide using pulsed RF plasma
TW200819555A (en) * 2000-09-08 2008-05-01 Tokyo Electron Ltd Shower head structure, device and method for film formation, and method for cleaning
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
KR20030066118A (ko) * 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
WO2004079778A2 (en) * 2003-02-28 2004-09-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
CN100495413C (zh) * 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
US7048432B2 (en) * 2003-06-19 2006-05-23 Halliburton Energy Services, Inc. Method and apparatus for hydrating a gel for use in a subterranean formation
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
KR101063737B1 (ko) * 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
DE602006011140D1 (de) * 2005-04-05 2010-01-28 Krosaki Harima Corp Gas-show-erplatte für eine plasmaverarbeitungsvorrichtung
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
KR100689847B1 (ko) 2005-07-15 2007-03-08 삼성전자주식회사 화학기상증착장치
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR100711184B1 (ko) * 2006-03-27 2007-04-24 주식회사 마이크로텍 샤워헤드 브래킷
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
DE502007001071D1 (de) * 2007-03-05 2009-08-27 Re Beschichtungsanlage und Gasleitungssystem
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
CN101809717B (zh) 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
TWI488547B (zh) * 2007-12-25 2015-06-11 Applied Materials Inc 電漿室裝置
JP5039576B2 (ja) * 2008-01-11 2012-10-03 シャープ株式会社 プラズマ処理装置
KR101199644B1 (ko) * 2008-01-31 2012-11-08 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버의 전극에 대한 다중 위상 rf 전력
KR100970201B1 (ko) * 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8992723B2 (en) * 2009-02-13 2015-03-31 Applied Material, Inc. RF bus and RF return bus for plasma chamber electrode
MY179709A (en) 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
KR20120072563A (ko) * 2010-12-24 2012-07-04 주식회사 원익아이피에스 진공처리장치
JP5933602B2 (ja) 2011-03-04 2016-06-15 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ガス分配を行なう装置および基板処理装置
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
CN103305907A (zh) * 2013-06-14 2013-09-18 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US10889894B2 (en) 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11810764B2 (en) 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
US11242600B2 (en) 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210105139A (ko) 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치
KR20220067696A (ko) 2020-11-18 2022-05-25 (주)포인트엔지니어링 가스 공급부재 및 이를 구비한 기판처리장치

Also Published As

Publication number Publication date
JPH10144614A (ja) 1998-05-29
JP4371442B2 (ja) 2009-11-25
US5882411A (en) 1999-03-16
KR19980033001A (ko) 1998-07-25

Similar Documents

Publication Publication Date Title
KR100492135B1 (ko) 페이스플레이트, 그 페이스플레이트를 포함하는 반응기
JP3597871B2 (ja) ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体
KR100965758B1 (ko) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
JP4511722B2 (ja) 化学気相堆積用リアクタ
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US8444926B2 (en) Processing chamber with heated chamber liner
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
KR100756107B1 (ko) 성막 장치
KR20180130854A (ko) 기판 지지 장치 및 이를 포함하는 기판 처리 장치
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
KR20090027747A (ko) 기판 가열기 조립체
KR20010024966A (ko) Rf 공급능력이 구비된 고온 세라믹 히터 조립체
TWI801413B (zh) 具有加熱的噴淋頭組件之基板處理腔室
TWI602945B (zh) 用於基板背側污染控制之基板支座
JP2004534905A (ja) チタン化学気相堆積用リアクタ
KR20060134946A (ko) 가스 처리 장치 및 성막 장치
KR20090024523A (ko) 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
EP0855452A1 (en) Process and apparatus for depositing titanium layers
JP7308950B2 (ja) 極低温静電チャック
KR20070036844A (ko) 반도체 및 액정표시 장치 제조용 플라즈마 화학 증착 챔버
KR20100131760A (ko) 대면적 가스분사장치
KR100698504B1 (ko) 화학 기상 증착 장치
CN112233959A (zh) 基板支承单元和包括其的基板处理系统

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 12