JPH10144614A - Cvdプラズマリアクタにおける面板サーマルチョーク - Google Patents

Cvdプラズマリアクタにおける面板サーマルチョーク

Info

Publication number
JPH10144614A
JPH10144614A JP9288820A JP28882097A JPH10144614A JP H10144614 A JPH10144614 A JP H10144614A JP 9288820 A JP9288820 A JP 9288820A JP 28882097 A JP28882097 A JP 28882097A JP H10144614 A JPH10144614 A JP H10144614A
Authority
JP
Japan
Prior art keywords
face plate
gas
plate
grooves
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9288820A
Other languages
English (en)
Other versions
JP4371442B2 (ja
Inventor
Alex Sclaver
アレックス スクレイバー
Jun Zoo
ジュン ゾオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10144614A publication Critical patent/JPH10144614A/ja
Application granted granted Critical
Publication of JP4371442B2 publication Critical patent/JP4371442B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

(57)【要約】 【課題】 CVD堆積されるウェハに対向配置されるシ
ャワーヘッド電極を有している新規なプラズマ式CVD
リアクタを提供すること。 【解決手段】 シャワーヘッド102は、ウェハの方に
処理ガスを噴射するための多数の噴射孔104を有して
いる。噴射孔を有するシャワーヘッドの領域を囲むよう
にして2本の深い溝140,142が形成されている。
これらの溝はシャワーヘッドの両面側から形成され、径
方向に互いに離隔されており、これにより溝間に薄い壁
146が形成される。この壁はサーマルチョークとして
作用し、シャワーヘッドのサポートへの熱の流れを低減
し、また、シャワーヘッドの表面全域にわたる温度分布
をより均一とする。この薄い壁は、更に、シャワーヘッ
ドとそのサポートとの間の熱膨張差を許容するための機
械的ベローズとしても機能する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的には、半導
体集積回路のような基板のプラズマ処理のための装置に
関する。より詳細には、本発明は、プラズマリアクタ、
特に化学的気相堆積用のプラズマリアクタにおけるガス
流に必要とされる構成要素に関する。
【0002】
【従来の技術】化学的気相堆積(CVD)は、基板上に
形成される半導体集積回路やその他の積層構造の製造の
ための周知プロセスである。CVDにおいては、半導体
ウェハ又は他の基板が真空チャンバの内部において減圧
下で前駆ガスにさらされる。この前駆ガスはウェハの表
面で反応し、そのウェハ上に或る成分を堆積する。例え
ば、シリコン(ケイ素)を堆積するのに、シラン(Si
4)が前駆ガスとして用いられることが多く、また、
二酸化ケイ素についてはTEOS(tetraethylorthosil
icate)がしばしば用いられている。ウェハが十分に高
い温度に加熱された場合、反応は熱活性化される。しか
し、多くの場合、熱活性化を効率よく行うために必要な
温度は、極めて高温であると考えられる。別の方法、い
わゆるプラズマ促進式CVD、すなわちPECVDにお
いては、前駆ガスをプラズマに励起するために電気的手
段が用いられている。プラズマは、前駆ガス及びその成
分のイオン及び/又はラジカルを生成する。これらは極
めて容易に反応する。従って、ウェハの温度を非常に低
温に保持することができる。
【0003】PECVD反応チャンバの一例が、Zha
o等により、米国特許第5,558,717号明細書に
開示されている。なお、この米国特許明細書の内容は本
明細書で援用する。このタイプのCVDリアクタは、カ
リフォルニア州サンタクララのアプライドマテリアルズ
インコーポレイテッドから、DxZチャンバの商品名で
入手することができる。図1の断面図は前記米国特許の
CVDチャンバを示している。ウェハ(図示せず)は処
理中にペデスタル10上で支持される。また、このペデ
スタル10は、下部チャンバボディ14のスリットバル
ブ開口部12及び下部チャンバボディ14の内側のセラ
ミックリング16を通してウェハを真空チャンバ内に搬
入するために又は真空チャンバから搬出するために、下
降され得る。
【0004】堆積中、前駆ガス18は、ウェハの上方に
ある中央供給分配システムを通り、アルミニウムのよう
な導電性金属から成る面板22における多数(数千)の
噴射孔20を通って流れる。このガス分配システムは、
1996年10月18日に出願された米国特許出願第0
8/734,015号(発明の名称:円錐形ドームを有
する誘導結合型平行プレートプラズマリアクタ)に、S
chneider等により開示されている。噴射孔20
を有する面板22の前面部分は、シャワーヘッド24と
呼ばれている。図示するように、処理中、シャワーヘッ
ド24はウェハに対向し近接して配置され、その孔開き
領域はウェハの領域と実質的に同一面積で広がってい
る。処理ガスはシャワーヘッドの孔20を通ってウェハ
上に流れ、その後、ほぼ径方向外方に流れて環状のポン
ピングチャネル26(これは、処理中、ペデスタル10
の上縁部を実質的に囲む)に至る。使用済みガスはポン
ピングチャネル26の絞り28を経て排気マニホールド
29に排気される。バルブ30は、図示しない真空ポン
ピングシステムによりポンプ吸引される排気口32に排
気ガスを導く。
【0005】面板22と、それに関連される部品とは、
リッド(蓋)フレーム34において保持されている。こ
のリッドフレーム34はOリング36により下部チャン
バボディ14にシールされている。リッドレーム34は
水平方向のヒンジ(図示せず)を中心として枢動し、下
部チャンバボディ14から上昇でき、技術者がチャンバ
の内部を保守することができるようになっている。図示
するように、ポンピングチャネル26は、リッドフレー
ム34と、下部チャンバボディ14と、チャンバボディ
14上に第1のセラミック製リング16を介して支持さ
れた第2のセラミック製リング38との間に形成されて
いるが、このポンピングチャネル26は主にリッド
(蓋)内に延びている。
【0006】図示のリアクタは、プラズマリアクタとし
て用いられるとしたものである。ペデスタル10は通常
接地されており、面板22に機械的に且つ電気的に固定
されたカバー40は、RF(高周波)電源42に接続さ
れている。従って、処理空間44は、シャワーヘッド2
4とペデスタル10とからそれぞれ成るRF駆動電極に
より囲まれている。十分なRF電力が加えられると、シ
ャワーヘッド24とペデスタル10との間の処理空間4
4内の処理ガスはプラズマに励起され、ウェハの表面上
のCVD反応を活性化する。これにより、反応は比較的
低温で行われ、形成される集積回路の熱合計(thermal
budget)にもほとんど影響を与えない。
【0007】下部チャンバボディ14は、通常、アルミ
ニウムのような金属から作られ、安全上の理由から、接
地されている。環状の絶縁体(アイソレータ)46が、
RF駆動の面板24をリッドフレーム34及び下部チャ
ンバボディ14から電気的に絶縁されているが、下部チ
ャンバボディ14には電気的に接続されている。絶縁体
46は、アルミナのようなセラミック材料又はテフロン
のような強固なプラスチックから作られ、これらの材料
は共に高い電気絶縁性を与える。
【0008】図1のチャンバは、200mm(8イン
チ)ウェハ用に設計されたものである。300mm(1
2インチ)ウェハのためにチャンバを拡大することは、
別の問題を引き起こすと共に、基本的設計を改良するた
めの機会を与える。
【0009】図1のリアクタにおいて、面板22とその
シャワーヘッド24の温度は、厳密に制御されていな
い。ペデスタル10は抵抗コイルにより積極的に加熱さ
れるが、面板22は積極的に加熱されたり冷却されたり
はしない。シャワーヘッド24は、プラズマからの衝突
による加熱及びペデスタルの放射加熱のために、約20
0℃であると見積もられている。温度はペデスタル10
とシャワーヘッド24との間で平衡する傾向があるが、
シャワーヘッドの熱のいくらかは、面板22の外側部分
と上部部分を通ってリッドフレーム34に、そして最終
的には下部チャンバボディ14及び他の周辺部に取り付
けられた部品に放散される。
【0010】
【発明が解決しようとする課題】このような温度は過度
に高くはないが、それでもなお、面板22、リッドフレ
ーム34、チャンバボディ14その他の部品をシールす
るOリングにおいて信頼性及び寿命の問題を引き起こ
す。従って、面板22の裏側の温度を減じることが望ま
しい。
【0011】シャワーヘッドの周縁部での熱吸収(heat
sink)により、少なくとも2つの関連の問題が生ず
る。熱生産はシャワーヘッドの全領域にわたりほぼ均一
であり、熱は、ほぼ均一な熱伝導を有する経路を経て、
より低温の周辺領域へと流れる。その結果として、シャ
ワーヘッド24の中心部は、周辺部の近傍部分よりも高
温となる。温度のこの径方向の不均一性は、堆積率の均
一性に影響を与え、また、シャワーヘッド24に熱応力
を発生させる。熱応力によりシャワーヘッド24は湾曲
し、その結果生ずる処理空間のギャップサイズの変動に
よりプラズマが不均一となり、堆積が不均一となる原因
ともなる。この温度の不均一性は、より大きなウェハサ
イズでは相当に悪化する。
【0012】
【課題を解決するための手段】本発明は、面板中央領域
(通常は多数のガス噴射孔を有し、もってシャワーヘッ
ドを構成する領域)を囲む2本の環状の溝を有するプラ
ズマリアクタ用の面板として要約され得るものである。
溝は、面板の両サイドから形成され、互いに横方向の面
内で互いに離隔(オフセット)されており、また、溝間
で薄い壁を形成するのに十分なほど深く形成されてい
る。この壁は、シャワーヘッドをそのサポート及び真空
シールから非常に有効に熱的に絶縁するサーマルチョー
ク(熱的絞り)として機能するものである。また、壁
は、熱膨張を許容するための機械的なベローズとしても
機能する。
【0013】
【発明の実施の形態】本発明は、シャワーヘッドをより
低温のシャワーヘツド用サポートから熱的に良好に絶縁
するために、また、熱膨張差を許容するために、面板の
シャワーヘッド部分についてのサーマルチョーク及び機
械的ベローズを提供する。図示のリアクタは、300m
mウェハ用に設計されたものであるが、図1の200m
mチャンバの特徴の多くが組み込まれている。
【0014】図2は、新規な面板100の側部の断面図
である図2に示すように、シャワーヘッド102は多数
の噴射孔104(図には数本のみ示す)を有している。
シャワーヘッド102は、処理領域108を横切って配
置されたペデスタル106に面している。ウェハ(図示
せず)は、孔104を通して処理領域108内に噴射さ
れる処理ガスからCVD堆積が行われるよう、ペデスタ
ル106の凹部110内に支持される。また、ウェハを
適正な堆積温度に加熱するために、電気ヒータ(図示せ
ず)がペデスタル106内に保持されている。
【0015】シャワーヘッド102を含む面板100
は、シャワーヘッド102の後方で、径方向外方に延び
る面板フランジ116を介してリッド(蓋)フレーム1
14上に支持されている。リッドフレーム114と面板
フランジ116との間にはL字状の環状絶縁体118が
配置されており、電気的にバイアスされる面板100を
接地されたリッドプレーム114から電気的に絶縁する
ようになっている。面板フランジ116、絶縁体118
及びリッドフレーム114を真空シールするために、2
本のOリング溝120,122内にOリングが嵌合され
ている。ガス入口マニホールドのためのカバー124が
面板フランジ116の上面に支持され、Oリング溝12
6内のOリングにより面板フランジ116に対してシー
ルされている。カバー124は面板に対して機械的に且
つ電気的に接続されており、RF電源により電気的にバ
イアスされる。リッドフレーム114はOリング溝12
8内のOリングにより下部チャンバボディ14に対して
シールされている。前述したように、これらのOリング
が受ける温度を減じることが望まれている。
【0016】シャワーヘッド102と面板フランジ11
6との間には円筒形のハンガ壁130が垂直方向に延び
ており、これは、水平方向に広がるリム132(その厚
さはシャワーヘッド102の厚さよりも小さい)により
シャワーヘッド102に連結されている。リム132の
水平方向の広がりは、図1のリアクタの対応部分よりも
薄いハンガ壁130により、適応されている。
【0017】サーマルチョーク及び伸縮ベローズが、噴
射孔104の領域の外側で面板100のリム132に形
成された2本の深い環状の溝140,142によって形
成されている。溝140,142は、互いに径方向に離
隔ないしはオフセットされており、また、リム132の
両面から、リム132の厚さの半分よりも相当に大きな
深さで機械加工されたものである。その結果として、薄
い環状壁146が溝140,142の間に形成されてい
る。この構造の機械的な強度は、面板リム132の上面
から内側溝140を、そして、下面から外側溝142を
機械加工することにより薄い壁146に適当な張力をか
けた状態で維持することによって、増強される。本発明
の特定の実施形態において、溝140,142は、それ
ぞれ対応する面から、リム132の厚さの約3分の2の
距離をもって延びており、80mil(2mm)の幅を
有している。また、これらの溝140,142は、薄い
壁146が80mil(2mm)の厚さを有するよう、
径方向にオフセットされている。溝及び壁の幅について
の寸法は、40〜160mil(1〜4mm)の範囲内
であることが好適である。
【0018】この構造は、少なくとも2つの有益な機
能、すなわちサーマルチョーク及び伸縮ベローズとして
の機能を果たす。シャワーヘッド102からハンガ壁1
30及び面板フランジ116までの熱の経路は、薄い壁
146を通っている。この壁146は、機械的な支持に
必要とされる面板100の他の部分の厚さよりも相当に
薄い。薄い壁146における熱的経路のこの薄さないし
は短さは、当該壁146での熱的抵抗を大きなものとす
る。この熱的抵抗は、シャワーヘッド102の熱的抵抗
やハンガ壁130及び面板フランジ116の熱的抵抗よ
りも遙かに大きい。その結果、薄い壁146の前後での
温度差は、シャワーヘツド102の前後或はハンガ壁1
30と面板フランジ116との組合せ物の前後での温度
差よりも相当に大きなものとなる。従って、シャワーヘ
ッド102は比較的に均一な温度分布となり、堆積は均
一なものとなる。また、これに対応して、ハンガ壁13
0及び面板フランジ116(非常に低い温度まで熱吸収
されている)の前後にわたる温度降下が小さいことは、
溝120,122,126,128内におけるOリング
はシャワーヘッド102の200℃よりも相当に低い温
度にさらされることを意味する。
【0019】シャワーヘッド102におけるより均一な
温度分布は、シャワーヘッド102が受ける熱応力差が
小さく、従って湾曲が小さいということを意味する。こ
の湾曲は、ウェハ全面にわたる堆積の不均一性の原因と
なるものである。
【0020】溝140,142の周りの金属製面板10
0の連続性は、取扱い容易な部材を提供するものであ
り、処理領域108においてプラズマを励起するのにR
F電力を印加するために、面板フランジ116からシャ
ワーヘッド102への電気的接触を可能とするものであ
る。
【0021】深い溝140,142と、これらに関連さ
れる薄い壁146とにより機能されるサーマルチョーク
を横切る方向での大きな温度差のために、高温のシャワ
ーヘッド102は低温のハンガ壁130に対して膨張す
る。しかし、薄い壁146は、その長さが厚さよりも非
常に大きくされている。従って、壁146は面板100
の径方向においてたわみ或は湾曲し、これによって熱的
歪みを許容すると共に、シャワーヘッド102の位置へ
の影響を極く最小限とすることができる。すなわち、2
本の溝140,142は、垂直方向の支持と真空シール
とを可能とすると共に幾らかの水平方向の動きを許容す
ることのできる機械的ベローズとして機能する。熱応力
下でのシャワーヘッド102の機械的安定性が改善され
ることにより、プラズマの均一性、ひいては堆積の均一
性が改善される。
【0022】例えば、絶縁体118の後方において、リ
ッドフレーム114、下部チャンバ壁14及びセラミッ
ク製リング16によりポンピングチャネル150が画成
されている。ポンピングチャネル150の壁面にはチャ
ネルライナが配置されるのがよく、その正確な形状は堆
積プロセス及びガス流にとり最適化され得る。
【0023】以上、本発明についてCVDリアクタに関
して説明したが、面板の同様な設計構造はエッチングリ
アクタ、特にプラズマ式エッチング装置に適用可能であ
る。
【0024】このように、本発明は、熱勾配及び機械的
変形を低減することにより、より均一な堆積を可能とす
るが、かかる改良は、既存の設計の非常に簡単な変更に
よって得られるものである。
【図面の簡単な説明】
【図1】従来のCVDリアクタの断面図である。
【図2】本発明の一実施形態におけるCVDリアクタの
一部を示す断面図である。
【符号の説明】
100…面板、102…シャワーヘッド、104…噴射
孔、106…ペデスタル、108…処理領域、114…
リッドフレーム、116…面板フランジ、118…絶縁
体、130…ハンガ壁、132…リム、140,142
…溝、146…薄い壁。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ゾオ ジュン アメリカ合衆国, カリフォルニア州, クパティノ, リッジ クリーク ロード 11764

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】 略円形のプレートと、 前記プレートの中央円形部分に、該プレートを実質的に
    直角に貫通して形成された複数の孔と、 前記中央円形部分を囲むようにして前記プレートの両面
    に形成された第1及び第2の円形の溝と、を備えるガス
    面板であって、 前記第1及び第2の溝が、互いに径方向に離隔されると
    共に、それぞれ前記プレートの厚さの半分以上の深さで
    穿設されて、前記溝間で前記プレートに壁を形成してい
    る、ガス面板。
  2. 【請求項2】 環状のフランジと、該フランジを前記プ
    レートの第1の面に連結する壁とを更に備え、 前記第1の溝が前記プレートの前記第1の面に形成さ
    れ、前記第2の溝の径方向内側に設けられている、請求
    項1記載のガス面板。
  3. 【請求項3】 真空チャンバと、 前記チャンバ内で基板を保持するためのペデスタルと、 前記チャンバの一側に配置されており、処理ガスを前記
    ペデスタルの方向に導くために複数の孔が貫通して形成
    されているガス面板と、 前記ガス面板の裏面に前記処理ガスを供給するために、
    前記ペデスタルとは反対の前記ガス面板の側に配置され
    たガス分配システムと、を備える基板処理リアクタであ
    って、 前記ガス面板が、前記孔を囲むようにして当該ガス面板
    の両面に形成された少なくとも2本の溝を有しており、
    前記溝が、前記ガス面板の面内で互いに離隔されると共
    に、前記ガス面板をそれぞれ十分な距離で穿設して、前
    記溝間で、該溝の深さ方向に沿って延びる壁を形成して
    いる、基板処理リアクタ。
  4. 【請求項4】 前記溝のうち第1の溝が前記ガス面板の
    前記裏面に形成され、第2の溝と前記孔との間に配置さ
    れている、請求項3に記載の基板処理リアクタ。
  5. 【請求項5】 前記真空チャンバが、チャンバボディ
    と、前記チャンバボディに取外し可能にシールされる蓋
    とを備え、 前記ガス面板が前記蓋に取り付けられている、請求項3
    に記載の基板処理リアクタ。
  6. 【請求項6】 前記ガス面板が、前記蓋に取付け可能な
    フランジと、前記蓋から離れる方向であって、前記溝及
    び前記孔を含む前記ガス面板の部分に向かう方向に前記
    フランジから延びる壁とを備えている、請求項5に記載
    の基板処理リアクタ。
  7. 【請求項7】 前記溝のうち第1の溝が前記ガス面板の
    前記裏面に形成され、第2の溝と前記孔との間に配置さ
    れている、請求項6に記載の基板処理リアクタ。
JP28882097A 1996-10-21 1997-10-21 反応チャンバにガスを供給する為の面板、および、反応チャンバ Expired - Lifetime JP4371442B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/735386 1996-10-21
US08/735,386 US5882411A (en) 1996-10-21 1996-10-21 Faceplate thermal choke in a CVD plasma reactor

Publications (2)

Publication Number Publication Date
JPH10144614A true JPH10144614A (ja) 1998-05-29
JP4371442B2 JP4371442B2 (ja) 2009-11-25

Family

ID=24955564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28882097A Expired - Lifetime JP4371442B2 (ja) 1996-10-21 1997-10-21 反応チャンバにガスを供給する為の面板、および、反応チャンバ

Country Status (3)

Country Link
US (1) US5882411A (ja)
JP (1) JP4371442B2 (ja)
KR (1) KR100492135B1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030066118A (ko) * 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
JP2005256172A (ja) * 2004-02-24 2005-09-22 Applied Materials Inc 可動又は柔軟なシャワーヘッド取り付け
KR100689847B1 (ko) 2005-07-15 2007-03-08 삼성전자주식회사 화학기상증착장치
WO2009087887A1 (ja) * 2008-01-11 2009-07-16 Sharp Kabushiki Kaisha プラズマ処理装置
WO2009116780A3 (ko) * 2008-03-17 2009-12-30 주식회사 아이피에스 진공처리장치
JP2011068999A (ja) * 2000-04-06 2011-04-07 Applied Materials Inc パルスrfプラズマを用いたteos酸化物の堆積
KR101063737B1 (ko) 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
TWI425109B (zh) * 2010-08-12 2014-02-01 Dms Co Ltd 化學氣相沉積裝置
JP2017117978A (ja) * 2015-12-25 2017-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6786935B1 (en) * 2000-03-10 2004-09-07 Applied Materials, Inc. Vacuum processing system for producing components
TWI334888B (ja) * 2000-09-08 2010-12-21 Tokyo Electron Ltd
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
GB2406583B (en) * 2002-08-08 2005-12-21 Trikon Technologies Ltd Improvements to showerheads
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
WO2004079778A2 (en) * 2003-02-28 2004-09-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
CN100495413C (zh) * 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US7048432B2 (en) * 2003-06-19 2006-05-23 Halliburton Energy Services, Inc. Method and apparatus for hydrating a gel for use in a subterranean formation
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
KR101198428B1 (ko) * 2005-04-05 2012-11-06 파나소닉 주식회사 플라즈마 처리 장치용 가스 샤워 플레이트
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR100711184B1 (ko) * 2006-03-27 2007-04-24 주식회사 마이크로텍 샤워헤드 브래킷
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
KR101519684B1 (ko) 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101911840B (zh) * 2007-12-25 2013-04-17 应用材料公司 用于等离子体室的电极的非对称性射频驱动装置
US8298625B2 (en) * 2008-01-31 2012-10-30 Applied Materials, Inc. Multiple phase RF power for electrode of plasma chamber
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
MY179709A (en) 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
KR20120072563A (ko) * 2010-12-24 2012-07-04 주식회사 원익아이피에스 진공처리장치
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
CN103305907A (zh) * 2013-06-14 2013-09-18 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US10889894B2 (en) 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
KR20210105139A (ko) 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치
US11810764B2 (en) * 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
US11242600B2 (en) 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
KR20220067696A (ko) 2020-11-18 2022-05-25 (주)포인트엔지니어링 가스 공급부재 및 이를 구비한 기판처리장치

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011068999A (ja) * 2000-04-06 2011-04-07 Applied Materials Inc パルスrfプラズマを用いたteos酸化物の堆積
KR20030066118A (ko) * 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
JP2005256172A (ja) * 2004-02-24 2005-09-22 Applied Materials Inc 可動又は柔軟なシャワーヘッド取り付け
KR101063737B1 (ko) 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
KR100689847B1 (ko) 2005-07-15 2007-03-08 삼성전자주식회사 화학기상증착장치
WO2009087887A1 (ja) * 2008-01-11 2009-07-16 Sharp Kabushiki Kaisha プラズマ処理装置
JP2009167445A (ja) * 2008-01-11 2009-07-30 Sharp Corp プラズマ処理装置
WO2009116780A3 (ko) * 2008-03-17 2009-12-30 주식회사 아이피에스 진공처리장치
KR100970201B1 (ko) 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
TWI425109B (zh) * 2010-08-12 2014-02-01 Dms Co Ltd 化學氣相沉積裝置
JP2017117978A (ja) * 2015-12-25 2017-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
JP4371442B2 (ja) 2009-11-25
KR19980033001A (ko) 1998-07-25
KR100492135B1 (ko) 2005-09-02
US5882411A (en) 1999-03-16

Similar Documents

Publication Publication Date Title
JPH10144614A (ja) Cvdプラズマリアクタにおける面板サーマルチョーク
US6103304A (en) Chemical vapor deposition apparatus
US9443753B2 (en) Apparatus for controlling the flow of a gas in a process chamber
US6364949B1 (en) 300 mm CVD chamber design for metal-organic thin film deposition
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
KR100749377B1 (ko) 성막 장치
US7024105B2 (en) Substrate heater assembly
US8444926B2 (en) Processing chamber with heated chamber liner
US5964947A (en) Removable pumping channel liners within a chemical vapor deposition chamber
US20090194264A1 (en) Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
JP2004285479A (ja) ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体
JP2002503765A (ja) 化学気相堆積用リアクタ
KR20190075163A (ko) 플로팅 쉐도우 링을 가진 프로세스 키트
TWI801413B (zh) 具有加熱的噴淋頭組件之基板處理腔室
JP7381713B2 (ja) プロセスキットのシース及び温度制御
JP2019504473A (ja) 融合されたカバーリング
JPH11330219A (ja) 静電吸着装置
TW202209400A (zh) 具有改進的選擇性和流導性的金屬氧化物預清潔腔室
US20220415693A1 (en) Substrate support and substrate processing apparatus
JPH08139037A (ja) 気相反応装置
JP2023151608A (ja) 基板処理装置
CN117881814A (zh) 用于支座组件的冲洗环
JP2000082699A (ja) エッチング処理装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041021

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041021

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071204

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080304

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080307

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080403

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080403

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090309

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090312

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090409

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090414

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090511

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090804

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090901

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120911

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120911

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130911

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term