JP4371442B2 - 反応チャンバにガスを供給する為の面板、および、反応チャンバ - Google Patents
反応チャンバにガスを供給する為の面板、および、反応チャンバ Download PDFInfo
- Publication number
- JP4371442B2 JP4371442B2 JP28882097A JP28882097A JP4371442B2 JP 4371442 B2 JP4371442 B2 JP 4371442B2 JP 28882097 A JP28882097 A JP 28882097A JP 28882097 A JP28882097 A JP 28882097A JP 4371442 B2 JP4371442 B2 JP 4371442B2
- Authority
- JP
- Japan
- Prior art keywords
- face plate
- reaction chamber
- shower head
- wall
- flexible wall
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Metallurgy (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Plasma Technology (AREA)
Description
【発明の属する技術分野】
本発明は、一般的には、半導体集積回路のような基板のプラズマ処理のための装置に関する。より詳細には、本発明は、プラズマリアクタ、特に化学的気相堆積用のプラズマリアクタにおけるガス流に必要とされる構成要素に関する。
【0002】
【従来の技術】
化学的気相堆積(CVD)は、基板上に形成される半導体集積回路やその他の積層構造の製造のための周知プロセスである。CVDにおいては、半導体ウェハ又は他の基板が真空チャンバの内部において減圧下で前駆ガスにさらされる。この前駆ガスはウェハの表面で反応し、そのウェハ上に或る成分を堆積する。例えば、シリコン(ケイ素)を堆積するのに、シラン(SiH4)が前駆ガスとして用いられることが多く、また、二酸化ケイ素についてはTEOS(tetraethylorthosilicate)がしばしば用いられている。ウェハが十分に高い温度に加熱された場合、反応は熱活性化される。しかし、多くの場合、熱活性化を効率よく行うために必要な温度は、極めて高温であると考えられる。別の方法、いわゆるプラズマ促進式CVD、すなわちPECVDにおいては、前駆ガスをプラズマに励起するために電気的手段が用いられている。プラズマは、前駆ガス及びその成分のイオン及び/又はラジカルを生成する。これらは極めて容易に反応する。従って、ウェハの温度を非常に低温に保持することができる。
【0003】
PECVD反応チャンバの一例が、Zhao等により、米国特許第5,558,717号明細書に開示されている。なお、この米国特許明細書の内容は本明細書で援用する。このタイプのCVDリアクタは、カリフォルニア州サンタクララのアプライドマテリアルズインコーポレイテッドから、DxZチャンバの商品名で入手することができる。図1の断面図は前記米国特許のCVDチャンバを示している。ウェハ(図示せず)は処理中にペデスタル10上で支持される。また、このペデスタル10は、下部チャンバボディ14のスリットバルブ開口部12及び下部チャンバボディ14の内側のセラミックリング16を通してウェハを真空チャンバ内に搬入するために又は真空チャンバから搬出するために、下降され得る。
【0004】
堆積中、前駆ガス18は、ウェハの上方にある中央供給分配システムを通り、アルミニウムのような導電性金属から成る面板22における多数(数千)の噴射孔20を通って流れる。このガス分配システムは、1996年10月18日に出願された米国特許出願第08/734,015号(発明の名称:円錐形ドームを有する誘導結合型平行プレートプラズマリアクタ)に、Schneider等により開示されている。噴射孔20を有する面板22の前面部分は、シャワーヘッド24と呼ばれている。図示するように、処理中、シャワーヘッド24はウェハに対向し近接して配置され、その孔開き領域はウェハの領域と実質的に同一面積で広がっている。処理ガスはシャワーヘッドの孔20を通ってウェハ上に流れ、その後、ほぼ径方向外方に流れて環状のポンピングチャネル26(これは、処理中、ペデスタル10の上縁部を実質的に囲む)に至る。使用済みガスはポンピングチャネル26の絞り28を経て排気マニホールド29に排気される。バルブ30は、図示しない真空ポンピングシステムによりポンプ吸引される排気口32に排気ガスを導く。
【0005】
面板22と、それに関連される部品とは、リッド(蓋)フレーム34において保持されている。このリッドフレーム34はOリング36により下部チャンバボディ14にシールされている。リッドレーム34は水平方向のヒンジ(図示せず)を中心として枢動し、下部チャンバボディ14から上昇でき、技術者がチャンバの内部を保守することができるようになっている。図示するように、ポンピングチャネル26は、リッドフレーム34と、下部チャンバボディ14と、チャンバボディ14上に第1のセラミック製リング16を介して支持された第2のセラミック製リング38との間に形成されているが、このポンピングチャネル26は主にリッド(蓋)内に延びている。
【0006】
図示のリアクタは、プラズマリアクタとして用いられるとしたものである。ペデスタル10は通常接地されており、面板22に機械的に且つ電気的に固定されたカバー40は、RF(高周波)電源42に接続されている。従って、処理空間44は、シャワーヘッド24とペデスタル10とからそれぞれ成るRF駆動電極により囲まれている。十分なRF電力が加えられると、シャワーヘッド24とペデスタル10との間の処理空間44内の処理ガスはプラズマに励起され、ウェハの表面上のCVD反応を活性化する。これにより、反応は比較的低温で行われ、形成される集積回路の熱合計(thermal budget)にもほとんど影響を与えない。
【0007】
下部チャンバボディ14は、通常、アルミニウムのような金属から作られ、安全上の理由から、接地されている。環状の絶縁体(アイソレータ)46が、RF駆動の面板24をリッドフレーム34及び下部チャンバボディ14から電気的に絶縁されているが、下部チャンバボディ14には電気的に接続されている。絶縁体46は、アルミナのようなセラミック材料又はテフロンのような強固なプラスチックから作られ、これらの材料は共に高い電気絶縁性を与える。
【0008】
図1のチャンバは、200mm(8インチ)ウェハ用に設計されたものである。300mm(12インチ)ウェハのためにチャンバを拡大することは、別の問題を引き起こすと共に、基本的設計を改良するための機会を与える。
【0009】
図1のリアクタにおいて、面板22とそのシャワーヘッド24の温度は、厳密に制御されていない。ペデスタル10は抵抗コイルにより積極的に加熱されるが、面板22は積極的に加熱されたり冷却されたりはしない。シャワーヘッド24は、プラズマからの衝突による加熱及びペデスタルの放射加熱のために、約200℃であると見積もられている。温度はペデスタル10とシャワーヘッド24との間で平衡する傾向があるが、シャワーヘッドの熱のいくらかは、面板22の外側部分と上部部分を通ってリッドフレーム34に、そして最終的には下部チャンバボディ14及び他の周辺部に取り付けられた部品に放散される。
【0010】
【発明が解決しようとする課題】
このような温度は過度に高くはないが、それでもなお、面板22、リッドフレーム34、チャンバボディ14その他の部品をシールするOリングにおいて信頼性及び寿命の問題を引き起こす。従って、面板22の裏側の温度を減じることが望ましい。
【0011】
シャワーヘッドの周縁部での熱吸収(heat sink)により、少なくとも2つの関連の問題が生ずる。熱生産はシャワーヘッドの全領域にわたりほぼ均一であり、熱は、ほぼ均一な熱伝導を有する経路を経て、より低温の周辺領域へと流れる。その結果として、シャワーヘッド24の中心部は、周辺部の近傍部分よりも高温となる。温度のこの径方向の不均一性は、堆積率の均一性に影響を与え、また、シャワーヘッド24に熱応力を発生させる。熱応力によりシャワーヘッド24は湾曲し、その結果生ずる処理空間のギャップサイズの変動によりプラズマが不均一となり、堆積が不均一となる原因ともなる。この温度の不均一性は、より大きなウェハサイズでは相当に悪化する。
【0012】
【課題を解決するための手段】
本発明は、面板中央領域(通常は多数のガス噴射孔を有し、もってシャワーヘッドを構成する領域)を囲む2本の環状の溝を有するプラズマリアクタ用の面板として要約され得るものである。溝は、面板の両サイドから形成され、互いに横方向の面内で互いに離隔(オフセット)されており、また、溝間で薄い壁を形成するのに十分なほど深く形成されている。この壁は、シャワーヘッドをそのサポート及び真空シールから非常に有効に熱的に絶縁するサーマルチョーク(熱的絞り)として機能するものである。また、壁は、熱膨張を許容するための機械的なベローズとしても機能する。
【0013】
【発明の実施の形態】
本発明は、シャワーヘッドをより低温のシャワーヘツド用サポートから熱的に良好に絶縁するために、また、熱膨張差を許容するために、面板のシャワーヘッド部分についてのサーマルチョーク及び機械的ベローズを提供する。図示のリアクタは、300mmウェハ用に設計されたものであるが、図1の200mmチャンバの特徴の多くが組み込まれている。
【0014】
図2は、新規な面板100の側部の断面図である図2に示すように、シャワーヘッド102は多数の噴射孔104(図には数本のみ示す)を有している。シャワーヘッド102は、処理領域108を横切って配置されたペデスタル106に面している。ウェハ(図示せず)は、孔104を通して処理領域108内に噴射される処理ガスからCVD堆積が行われるよう、ペデスタル106の凹部110内に支持される。また、ウェハを適正な堆積温度に加熱するために、電気ヒータ(図示せず)がペデスタル106内に保持されている。
【0015】
シャワーヘッド102を含む面板100は、シャワーヘッド102の後方で、径方向外方に延びる面板フランジ116を介してリッド(蓋)フレーム114上に支持されている。リッドフレーム114と面板フランジ116との間にはL字状の環状絶縁体118が配置されており、電気的にバイアスされる面板100を接地されたリッドプレーム114から電気的に絶縁するようになっている。面板フランジ116、絶縁体118及びリッドフレーム114を真空シールするために、2本のOリング溝120,122内にOリングが嵌合されている。ガス入口マニホールドのためのカバー124が面板フランジ116の上面に支持され、Oリング溝126内のOリングにより面板フランジ116に対してシールされている。カバー124は面板に対して機械的に且つ電気的に接続されており、RF電源により電気的にバイアスされる。リッドフレーム114はOリング溝128内のOリングにより下部チャンバボディ14に対してシールされている。前述したように、これらのOリングが受ける温度を減じることが望まれている。
【0016】
シャワーヘッド102と面板フランジ116との間には円筒形のハンガ壁130が垂直方向に延びており、これは、水平方向に広がるリム132(その厚さはシャワーヘッド102の厚さよりも小さい)によりシャワーヘッド102に連結されている。リム132の水平方向の広がりは、図1のリアクタの対応部分よりも薄いハンガ壁130により、適応されている。
【0017】
サーマルチョーク及び伸縮ベローズが、噴射孔104の領域の外側で面板100のリム132に形成された2本の深い環状の溝140,142によって形成されている。溝140,142は、互いに径方向に離隔ないしはオフセットされており、また、リム132の両面から、リム132の厚さの半分よりも相当に大きな深さで機械加工されたものである。その結果として、薄い環状壁146が溝140,142の間に形成されている。この構造の機械的な強度は、面板リム132の上面から内側溝140を、そして、下面から外側溝142を機械加工することにより薄い壁146に適当な張力をかけた状態で維持することによって、増強される。本発明の特定の実施形態において、溝140,142は、それぞれ対応する面から、リム132の厚さの約3分の2の距離をもって延びており、80mil(2mm)の幅を有している。また、これらの溝140,142は、薄い壁146が80mil(2mm)の厚さを有するよう、径方向にオフセットされている。溝及び壁の幅についての寸法は、40〜160mil(1〜4mm)の範囲内であることが好適である。
【0018】
この構造は、少なくとも2つの有益な機能、すなわちサーマルチョーク及び伸縮ベローズとしての機能を果たす。シャワーヘッド102からハンガ壁130及び面板フランジ116までの熱の経路は、薄い壁146を通っている。この壁146は、機械的な支持に必要とされる面板100の他の部分の厚さよりも相当に薄い。薄い壁146における熱的経路のこの薄さないしは短さは、当該壁146での熱的抵抗を大きなものとする。この熱的抵抗は、シャワーヘッド102の熱的抵抗やハンガ壁130及び面板フランジ116の熱的抵抗よりも遙かに大きい。その結果、薄い壁146の前後での温度差は、シャワーヘツド102の前後或はハンガ壁130と面板フランジ116との組合せ物の前後での温度差よりも相当に大きなものとなる。従って、シャワーヘッド102は比較的に均一な温度分布となり、堆積は均一なものとなる。また、これに対応して、ハンガ壁130及び面板フランジ116(非常に低い温度まで熱吸収されている)の前後にわたる温度降下が小さいことは、溝120,122,126,128内におけるOリングはシャワーヘッド102の200℃よりも相当に低い温度にさらされることを意味する。
【0019】
シャワーヘッド102におけるより均一な温度分布は、シャワーヘッド102が受ける熱応力差が小さく、従って湾曲が小さいということを意味する。この湾曲は、ウェハ全面にわたる堆積の不均一性の原因となるものである。
【0020】
溝140,142の周りの金属製面板100の連続性は、取扱い容易な部材を提供するものであり、処理領域108においてプラズマを励起するのにRF電力を印加するために、面板フランジ116からシャワーヘッド102への電気的接触を可能とするものである。
【0021】
深い溝140,142と、これらに関連される薄い壁146とにより機能されるサーマルチョークを横切る方向での大きな温度差のために、高温のシャワーヘッド102は低温のハンガ壁130に対して膨張する。しかし、薄い壁146は、その長さが厚さよりも非常に大きくされている。従って、壁146は面板100の径方向においてたわみ或は湾曲し、これによって熱的歪みを許容すると共に、シャワーヘッド102の位置への影響を極く最小限とすることができる。すなわち、2本の溝140,142は、垂直方向の支持と真空シールとを可能とすると共に幾らかの水平方向の動きを許容することのできる機械的ベローズとして機能する。熱応力下でのシャワーヘッド102の機械的安定性が改善されることにより、プラズマの均一性、ひいては堆積の均一性が改善される。
【0022】
例えば、絶縁体118の後方において、リッドフレーム114、下部チャンバ壁14及びセラミック製リング16によりポンピングチャネル150が画成されている。ポンピングチャネル150の壁面にはチャネルライナが配置されるのがよく、その正確な形状は堆積プロセス及びガス流にとり最適化され得る。
【0023】
以上、本発明についてCVDリアクタに関して説明したが、面板の同様な設計構造はエッチングリアクタ、特にプラズマ式エッチング装置に適用可能である。
【0024】
このように、本発明は、熱勾配及び機械的変形を低減することにより、より均一な堆積を可能とするが、かかる改良は、既存の設計の非常に簡単な変更によって得られるものである。
【図面の簡単な説明】
【図1】従来のCVDリアクタの断面図である。
【図2】本発明の一実施形態におけるCVDリアクタの一部を示す断面図である。
【符号の説明】
100…面板、102…シャワーヘッド、104…噴射孔、106…ペデスタル、108…処理領域、114…リッドフレーム、116…面板フランジ、118…絶縁体、130…ハンガ壁、132…リム、140,142…溝、146…薄い壁。
Claims (21)
- 反応チャンバにガスを供給する為の面板であって、
シャワーヘッドと、
可撓性の壁と、
を備え、
前記シャワーヘッドは、該シャワーヘッドの上面から該シャワーヘッドの下面まで延びる複数のガス流通孔を有し、ガスが該シャワーヘッドの上方から該ガス流通孔を通って該シャワーヘッドの下方の領域に流れることができるように構成されており、
前記可撓性の壁の下部は、前記シャワーヘッドに接続されており、前記可撓性の壁の上部は、前記可撓性の壁の該下部の上方に延びている、
面板。 - 反応チャンバ内において基板が配置される位置に向けてガスを供給する為に該位置の上方に設置されるべき面板であって、
複数のガス流通孔を有するシャワーヘッドと、
可撓性の壁と、
を備え、
前記可撓性の壁の下部は、前記シャワーヘッドに接続されており、当該面板が前記反応チャンバに取り付けられると前記可撓性の壁が前記下部から上方に延びて前記位置から遠ざかるように構成されている面板。 - 前記面板内の溝であって、前記可撓性の壁と前記シャワーヘッドの周縁とに隣接し、これらの間に位置する溝を更に備える、請求項1または2に記載の面板。
- 前記面板内の溝であって、前記可撓性の壁の径方向内側の面に連続している溝を更に備える、請求項1または2に記載の面板。
- 前記可撓性の壁は、1から4mmの範囲の厚みを有する、請求項1〜4のいずれか一項に記載の面板。
- 前記可撓性の壁は、前記シャワーヘッドの径方向に撓み、湾曲することができる、請求項1〜5のいずれか一項に記載の面板。
- 前記可撓性の壁は、前記シャワーヘッドの熱的歪みを許容するように撓み、湾曲することができる、請求項1〜5のいずれか一項に記載の面板。
- 前記可撓性の壁は、前記シャワーヘッドの熱膨張を許容するように撓み、湾曲する、請求項1〜5のいずれか一項に記載の面板。
- 前記面板は、金属の単体である、請求項1〜8のいずれか一項に記載の面板。
- 反応チャンバであって、
反応チャンバボディと、
複数のガス流通孔を有するシャワーヘッドと、
前記反応チャンバボディに接続された上部および前記シャワーヘッドに接続された下部を有する可撓性の壁と、
を備える反応チャンバ。 - 反応チャンバであって、
反応チャンバボディと、
複数のガス流通孔を有するシャワーヘッドと、
前記反応チャンバボディに接続された上部および前記シャワーヘッドに接続された下部を有し、前記反応チャンバボディ内で前記シャワーヘッドを支持する可撓性の壁と、
を備える、反応チャンバ。 - 反応チャンバであって、
反応チャンバボディと、
シャワーヘッド及び可撓性の壁を含む面板と、
を備え、
前記シャワーヘッドは、上面、下面、側面を備え、
前記シャワーヘッドは、前記上面及び前記下面間に延びる複数のガス流通孔を有し、
前記面板の前記壁は、前記反応チャンバボディに接続された上部および前記シャワーヘッドに接続された下部を有し、
前記面板は、溝を含み、該溝は、前記面板の前記壁と前記シャワーヘッドの前記側面とに隣接し、これらの間に位置している、反応チャンバ。 - 反応チャンバであって、
反応チャンバボディと、
シャワーヘッド及び可撓性の壁を含む面板と、
を備え、
前記シャワーヘッドは、複数のガス流通孔を有し、
前記面板の前記壁は、前記反応チャンバボディに接続された上部および前記シャワーヘッドに接続された下部を有し、
前記面板は、溝を含み、該溝は、前記面板の前記壁の径方向内側の面に連続している、反応チャンバ。 - 前記面板は、前記反応チャンバボディと前記面板の前記壁との間に連結されたフランジを更に備える、請求項12又は13に記載の反応チャンバ。
- 前記壁は、1から4mmの範囲の厚みを有する、請求項10〜14のいずれか一項に記載の反応チャンバ。
- 前記壁は、前記シャワーヘッドの径方向に撓み、湾曲することができる、請求項10〜15のいずれか一項に記載の反応チャンバ。
- 前記壁は、前記シャワーヘッドの熱的歪みを許容するように撓み、湾曲することができる、請求項10〜15のいずれか一項に記載の反応チャンバ。
- 前記壁は、前記シャワーヘッドの熱膨張を許容するように撓み、湾曲することができる、請求項10〜15のいずれか一項に記載の反応チャンバ。
- 前記面板は、金属の単体である、請求項10〜18のいずれか一項に記載の反応チャンバ。
- 反応チャンバであって、
反応チャンバボディと、
シャワーヘッド及び可撓性の壁を含む面板と、
を備え、
前記シャワーヘッドは、複数のガス流通孔を有し、
前記面板の前記壁は、前記反応チャンバボディに接続された上部および前記シャワーヘッドに接続された下部を有し、
前記面板は、溝を含み、該溝は、前記面板の前記壁の径方向外側の面に隣接しており、
前記溝は、前記面板の下方を向いた面から前記面板内に向かって上方に延びている、反応チャンバ。 - 前記面板は、前記面板の前記壁の径方向内側の面に隣接する第2の溝を更に備えており、この第2の溝が、前記面板の上方を向いた面から前記面板内に向かって下方に延びている、請求項20に記載の反応チャンバ。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/735,386 US5882411A (en) | 1996-10-21 | 1996-10-21 | Faceplate thermal choke in a CVD plasma reactor |
US08/735386 | 1996-10-21 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH10144614A JPH10144614A (ja) | 1998-05-29 |
JP4371442B2 true JP4371442B2 (ja) | 2009-11-25 |
Family
ID=24955564
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP28882097A Expired - Lifetime JP4371442B2 (ja) | 1996-10-21 | 1997-10-21 | 反応チャンバにガスを供給する為の面板、および、反応チャンバ |
Country Status (3)
Country | Link |
---|---|
US (1) | US5882411A (ja) |
JP (1) | JP4371442B2 (ja) |
KR (1) | KR100492135B1 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2012086879A1 (ko) * | 2010-12-24 | 2012-06-28 | 주식회사 원익아이피에스 | 진공처리장치 |
Families Citing this family (103)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US6055927A (en) | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
US6527865B1 (en) | 1997-09-11 | 2003-03-04 | Applied Materials, Inc. | Temperature controlled gas feedthrough |
US6258170B1 (en) | 1997-09-11 | 2001-07-10 | Applied Materials, Inc. | Vaporization and deposition apparatus |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6106625A (en) * | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6050216A (en) * | 1998-08-21 | 2000-04-18 | M.E.C. Technology, Inc. | Showerhead electrode for plasma processing |
US6170429B1 (en) | 1998-09-30 | 2001-01-09 | Lam Research Corporation | Chamber liner for semiconductor process chambers |
TW514996B (en) * | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6772827B2 (en) | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6237528B1 (en) | 2000-01-24 | 2001-05-29 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
US6170432B1 (en) | 2000-01-24 | 2001-01-09 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
US6786935B1 (en) | 2000-03-10 | 2004-09-07 | Applied Materials, Inc. | Vacuum processing system for producing components |
US6451390B1 (en) * | 2000-04-06 | 2002-09-17 | Applied Materials, Inc. | Deposition of TEOS oxide using pulsed RF plasma |
TW200819555A (en) * | 2000-09-08 | 2008-05-01 | Tokyo Electron Ltd | Shower head structure, device and method for film formation, and method for cleaning |
US6669783B2 (en) * | 2001-06-28 | 2003-12-30 | Lam Research Corporation | High temperature electrostatic chuck |
US7204886B2 (en) * | 2002-11-14 | 2007-04-17 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
JP4121269B2 (ja) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
US6827815B2 (en) * | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
KR20030066118A (ko) * | 2002-02-04 | 2003-08-09 | 주성엔지니어링(주) | 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치 |
US6972267B2 (en) * | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US6902629B2 (en) * | 2002-04-12 | 2005-06-07 | Applied Materials, Inc. | Method for cleaning a process chamber |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
JP2005536042A (ja) * | 2002-08-08 | 2005-11-24 | トリコン テクノロジーズ リミティド | シャワーヘッドの改良 |
US20040052969A1 (en) * | 2002-09-16 | 2004-03-18 | Applied Materials, Inc. | Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate |
US6946033B2 (en) * | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
US7166166B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
US7147749B2 (en) * | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US7204912B2 (en) * | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US7166200B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US7137353B2 (en) * | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US6837966B2 (en) * | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US6798519B2 (en) * | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
CN1249789C (zh) * | 2002-11-28 | 2006-04-05 | 东京毅力科创株式会社 | 等离子体处理容器内部件 |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
WO2004079778A2 (en) * | 2003-02-28 | 2004-09-16 | Tokyo Electron Limited | Apparatus for attachment of semiconductor hardware |
WO2004095530A2 (en) * | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | Adjoining adjacent coatings on an element |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
KR100965758B1 (ko) * | 2003-05-22 | 2010-06-24 | 주성엔지니어링(주) | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
JP2007525822A (ja) * | 2003-05-30 | 2007-09-06 | アヴィザ テクノロジー インコーポレイテッド | ガス分配システム |
US7048432B2 (en) * | 2003-06-19 | 2006-05-23 | Halliburton Energy Services, Inc. | Method and apparatus for hydrating a gel for use in a subterranean formation |
US7645341B2 (en) * | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
US8083853B2 (en) * | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US8317968B2 (en) | 2004-04-30 | 2012-11-27 | Lam Research Corporation | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
US7712434B2 (en) * | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
US7112541B2 (en) * | 2004-05-06 | 2006-09-26 | Applied Materials, Inc. | In-situ oxide capping after CVD low k deposition |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
KR101063737B1 (ko) * | 2004-07-09 | 2011-09-08 | 주성엔지니어링(주) | 기판 제조장비의 샤워헤드 |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US7552521B2 (en) * | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7601242B2 (en) * | 2005-01-11 | 2009-10-13 | Tokyo Electron Limited | Plasma processing system and baffle assembly for use in plasma processing system |
US7430986B2 (en) | 2005-03-18 | 2008-10-07 | Lam Research Corporation | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
US20060225654A1 (en) * | 2005-03-29 | 2006-10-12 | Fink Steven T | Disposable plasma reactor materials and methods |
JP4746620B2 (ja) * | 2005-04-05 | 2011-08-10 | パナソニック株式会社 | プラズマ処理装置用のガスシャワープレート |
US20060266288A1 (en) * | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
US7273823B2 (en) * | 2005-06-03 | 2007-09-25 | Applied Materials, Inc. | Situ oxide cap layer development |
KR100689847B1 (ko) | 2005-07-15 | 2007-03-08 | 삼성전자주식회사 | 화학기상증착장치 |
US20070044714A1 (en) * | 2005-08-31 | 2007-03-01 | Applied Materials, Inc. | Method and apparatus for maintaining a cross sectional shape of a diffuser during processing |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
US7850779B2 (en) * | 2005-11-04 | 2010-12-14 | Applied Materisals, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
KR100711184B1 (ko) * | 2006-03-27 | 2007-04-24 | 주식회사 마이크로텍 | 샤워헤드 브래킷 |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
US7775508B2 (en) * | 2006-10-31 | 2010-08-17 | Applied Materials, Inc. | Ampoule for liquid draw and vapor draw with a continuous level sensor |
US8821637B2 (en) * | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
ES2331489T3 (es) * | 2007-03-05 | 2010-01-05 | Applied Materials, Inc. | Instalacion de revestimiento y sistema de conduccion de gas. |
US8069817B2 (en) | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
US8313610B2 (en) | 2007-09-25 | 2012-11-20 | Lam Research Corporation | Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
KR101166988B1 (ko) * | 2007-12-25 | 2012-07-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마 챔버의 전극에 대한 비대칭 rf 구동 |
JP5039576B2 (ja) * | 2008-01-11 | 2012-10-03 | シャープ株式会社 | プラズマ処理装置 |
US8298625B2 (en) * | 2008-01-31 | 2012-10-30 | Applied Materials, Inc. | Multiple phase RF power for electrode of plasma chamber |
KR100970201B1 (ko) * | 2008-03-17 | 2010-07-14 | 주식회사 아이피에스 | 진공처리장치 |
US8146896B2 (en) * | 2008-10-31 | 2012-04-03 | Applied Materials, Inc. | Chemical precursor ampoule for vapor deposition processes |
CN102365906B (zh) * | 2009-02-13 | 2016-02-03 | 应用材料公司 | 用于等离子体腔室电极的rf总线与rf回流总线 |
DE212010000009U1 (de) | 2009-09-10 | 2011-05-26 | LAM RESEARCH CORPORATION (Delaware Corporation), California | Auswechselbare obere Kammerteile einer Plasmaverarbeitungsvorrichtung |
CN202888133U (zh) * | 2009-09-29 | 2013-04-17 | 应用材料公司 | 用于将射频功率耦合到等离子体腔室的装置 |
KR101249999B1 (ko) * | 2010-08-12 | 2013-04-03 | 주식회사 디엠에스 | 화학기상증착 장치 |
US8573152B2 (en) * | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
KR101937115B1 (ko) | 2011-03-04 | 2019-01-09 | 노벨러스 시스템즈, 인코포레이티드 | 하이브리드 세라믹 샤워헤드 |
US20120231181A1 (en) * | 2011-03-09 | 2012-09-13 | Applied Materials, Inc. | Insulation coverage of cvd electrode |
TWI480417B (zh) | 2012-11-02 | 2015-04-11 | Ind Tech Res Inst | 具氣幕之氣體噴灑裝置及其薄膜沉積裝置 |
CN103305907A (zh) * | 2013-06-14 | 2013-09-18 | 光垒光电科技(上海)有限公司 | 用于外延沉积的反应腔 |
TW201518538A (zh) * | 2013-11-11 | 2015-05-16 | Applied Materials Inc | 像素化冷卻溫度控制的基板支撐組件 |
US10741365B2 (en) | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US11004661B2 (en) * | 2015-09-04 | 2021-05-11 | Applied Materials, Inc. | Process chamber for cyclic and selective material removal and etching |
JP6285411B2 (ja) * | 2015-12-25 | 2018-02-28 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
DE202017105481U1 (de) * | 2017-09-11 | 2018-12-12 | Aixtron Se | Gaseinlassorgan für einen CVD- oder PVD-Reaktor |
US10907252B2 (en) * | 2017-10-23 | 2021-02-02 | Applied Materials, Inc. | Horizontal heat choke faceplate design |
US10889894B2 (en) | 2018-08-06 | 2021-01-12 | Applied Materials, Inc. | Faceplate with embedded heater |
KR20210105139A (ko) | 2020-02-18 | 2021-08-26 | (주)포인트엔지니어링 | 가스 공급장치 및 이를 구비한 증착장치 |
US11810764B2 (en) * | 2020-04-23 | 2023-11-07 | Applied Materials, Inc. | Faceplate with edge flow control |
US11242600B2 (en) | 2020-06-17 | 2022-02-08 | Applied Materials, Inc. | High temperature face plate for deposition application |
KR20220067696A (ko) | 2020-11-18 | 2022-05-25 | (주)포인트엔지니어링 | 가스 공급부재 및 이를 구비한 기판처리장치 |
Family Cites Families (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5558717A (en) * | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
-
1996
- 1996-10-21 US US08/735,386 patent/US5882411A/en not_active Expired - Lifetime
-
1997
- 1997-10-21 KR KR1019970053881A patent/KR100492135B1/ko active IP Right Grant
- 1997-10-21 JP JP28882097A patent/JP4371442B2/ja not_active Expired - Lifetime
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2012086879A1 (ko) * | 2010-12-24 | 2012-06-28 | 주식회사 원익아이피에스 | 진공처리장치 |
Also Published As
Publication number | Publication date |
---|---|
KR100492135B1 (ko) | 2005-09-02 |
US5882411A (en) | 1999-03-16 |
JPH10144614A (ja) | 1998-05-29 |
KR19980033001A (ko) | 1998-07-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4371442B2 (ja) | 反応チャンバにガスを供給する為の面板、および、反応チャンバ | |
JP4511722B2 (ja) | 化学気相堆積用リアクタ | |
US8444926B2 (en) | Processing chamber with heated chamber liner | |
US6103304A (en) | Chemical vapor deposition apparatus | |
US9443753B2 (en) | Apparatus for controlling the flow of a gas in a process chamber | |
US8636871B2 (en) | Plasma processing apparatus, plasma processing method and storage medium | |
US10741368B2 (en) | Plasma processing apparatus | |
US6302966B1 (en) | Temperature control system for plasma processing apparatus | |
US20090017635A1 (en) | Apparatus and method for processing a substrate edge region | |
JP2004285479A (ja) | ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体 | |
US20020007795A1 (en) | Temperature control system for plasma processing apparatus | |
JP2015010281A (ja) | ガスシールを有する化学蒸着チャンバ | |
TWI801413B (zh) | 具有加熱的噴淋頭組件之基板處理腔室 | |
JP7381713B2 (ja) | プロセスキットのシース及び温度制御 | |
KR20060100302A (ko) | 양극처리된 기판 지지부 | |
KR19980018624A (ko) | 화학기상증착, 플라즈마강화 화학기상증착 또는 플라즈마 에치 반응기로부터의 배기 가스 처리 방법 및 장치 | |
CN112185791B (zh) | 喷头单元及具有该喷头单元的基板处理系统 | |
US11236424B2 (en) | Process kit for improving edge film thickness uniformity on a substrate | |
KR20210008725A (ko) | 기판 지지 유닛 및 이를 구비하는 기판 처리 시스템 | |
JPH11330219A (ja) | 静電吸着装置 | |
KR20070013364A (ko) | 화학 기상 증착장치의 히터모듈 | |
US5958139A (en) | Plasma etch system | |
KR102460313B1 (ko) | 기판 처리 장치의 서셉터 및 기판 처리 장치 | |
JP7145625B2 (ja) | 基板載置構造体およびプラズマ処理装置 | |
KR101410820B1 (ko) | 상부 전극 조립체 및 이를 포함하는 박막 처리 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20041021 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041021 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20071128 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20071204 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080304 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080307 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080403 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20080403 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20081209 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090309 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090312 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090409 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090414 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090511 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20090804 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20090901 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120911 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120911 Year of fee payment: 3 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: R3D02 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130911 Year of fee payment: 4 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |