JP2004285479A - ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体 - Google Patents

ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体 Download PDF

Info

Publication number
JP2004285479A
JP2004285479A JP2004129752A JP2004129752A JP2004285479A JP 2004285479 A JP2004285479 A JP 2004285479A JP 2004129752 A JP2004129752 A JP 2004129752A JP 2004129752 A JP2004129752 A JP 2004129752A JP 2004285479 A JP2004285479 A JP 2004285479A
Authority
JP
Japan
Prior art keywords
showerhead
reaction chamber
gas
space
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004129752A
Other languages
English (en)
Inventor
Joseph T Hillman
ヒルマン、ジョセフ、ティ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2004285479A publication Critical patent/JP2004285479A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】CVDプロセス中のプロセスガスの供給が、製造、組立て、補修を簡単な、経費がかからない組立体によって行うことができ、さらにプロセス空間内の全ての表面の適切な温度制御を維持しながら行うことができる。
【解決手段】化学蒸着用反応室10において、下方絶縁板50は、シャワヘッドを室本体から電気的に絶縁するため、シャワヘッドの一側に、シャワヘッドと室本体12との間に設置され、上方絶縁板52は、シャワヘッドを室本体およびふた22から電気的に絶縁するため、シャワヘッド44の他側に、シャワヘッドおよび室本体およびふた22の間に設置される。たな42は室本体の内壁に設けられ、平らなシャワヘッド44および上方52および下方50絶縁板は積重ねられた構造物として配置され、かつプロセスガスをプロセス空間内の基板に導入するため、プロセス空間14の近傍のたな42の上に設置される。
【選択図】図1

Description

本発明は一般に化学蒸着(CVD)およびプラズマ強化化学蒸着(PECVD)に、とくにCVDおよびPECVD反応における反応物のガス成分を供給するとともに供給ガス成分の適当な熱的制御を維持するための簡単で、耐久性のあるガス供給方式を得るための装置および方法に関するものである。
集積回路(IC)の形成において、基板の表面上に、半導体ウェーハのような、金属およびメタロイド要素を含むフイルムのような、薄い物質フィルムまたは層を沈着することがしばしば必要になる。このような薄いフイルムの一つの目的は、回路における導電性のかつ抵抗性接触を得ること、およびICの種々の装置間の導電性のまたはバリヤ層を生産することである。たとえば、所望のフイルムは接触の露出面にまたは基板の絶縁層の孔を介して適用されることができ、フイルムは絶縁層を横切って電気的接続を形成するための導電性物質のプラグを得るため絶縁層を通過する。
このようなフイルムを沈着する一つの周知の方法は、フイルムが種々の成分または、一般にプロセスガスと称せられる反応ガス間の化学反応を使用して基板上に析出される、化学蒸着(CVD)である。CVDにおいて、プロセスガスは基板を収容する反応室のプロセス空間内に給送される。ガスは基板近傍のプロセス空間内において反応し、一つまたはそれ以上の反応副産物を生ずる。ついで反応副産物は、露出された基板表面上に所望のフイルムを形成するため基板上に沈着する。
同様に広く利用されているCVDプロセスの別の変形は、一つまたはそれ以上のプロセスガスが反応プロセスに対するエネルギを得るためイオン化されてガスプラズマになる、プラズマ強化CVDプロセスまたはPECVDプロセスである。PECVDは、標準的CVDに関して適当な反応に通常必要であるプロセス温度および熱エネルギを低下するため好ましい。PECVDにおいて、電気エネルギが、プラズマを形成しかつ維持するためプロセスガス(単数または複数)に供給され、したがって、反応のために必要となる熱エネルギが少なくなる。
このような一つのPECVDプロセスに対して、基板をプロセス空間内に支持する平らなサスセプタまたは平らな支持構造、およびプロセスガス供給要素のような、プロセス空間内における他の平らな要素は、一つまたはそれ以上の反応ガスを附勢してイオン化されたプラズマにするための対向する無線周波数(RF)電極として作動するため、RFエネルギによって電気的にバイアスをかけられる。このような方法は、平らなサスセプタおよびバイアスをかけられた他の平らな要素が、その間に基板が設置されるバイアスをかけられた電気的板をシミュレートするため全体的に互いに平行に維持されるために、通常平行板PECVD法と称せられる。また、基板はバイアスされた板または要素に全体的に平行に維持される。
CVDおよびPECVDプロセスにおいて、プロセス空間および基板の近傍へのプロセスガスの適当な供給が肝要である。プロセスガスは、沈着プロセスに対して望ましいガスの適当な流れおよび分配をもたらすガス供給システムを通って、プロセス空間および基板に供給される。全体的に、このようなガス供給システムはガス反応室内に、ガス噴射リングまたは平らなシャワヘッドのような、ガス分散要素を収容し、それらは基板近傍のガスの均一な分配およびガス流を確保するため、プロセス空間の周りに進入するプロセスガスを分配する。均一なガスの分配および流れは、均一かつ有効な沈着プロセス、(PECVDに対する)密なプラズマおよび基板上の均一に沈着されたフィルムのために望ましい。リングおよびシャワヘッドはいずれも、プロセスガスを導入するためのきわめて有効なフォーマットである。PECVDに対して、シャワヘッドは、それらのバイアスをかけられた電極ならびにガス分散要素としてのそれらの二重の機能のために、とくに好ましいことが証明された。
通常のRF−PECVDプロセスは一般に、平行な、バイアスをかけられたサスセプタに向合ったバイアスをかけられた、平らなガスシャワヘッドを利用する。そのようなPECVDプロセスおよびシャワヘッド構造の一つは米国特許第5567243号明細書に開示されており、その構造は本願によって共通に保有される他の適当なシャワヘッド構造は、“CVDおよびPECVD反応において反応ガスの早期の混合を防止する装置および方法”と称する米国特許出願連続番号08/940779号明細書に開示されている。認可された特許および係属中の出願は、それらを全面的に参照することにより本明細書に完全に組入れられる。
そのようなシャワヘッド構造は適当なPECVDフィルムを生産するが、それらは複雑な支持およびバイアスかけ組立体を必要とする。組立体は基板近傍の反応室内側に接続され、したがって、反応室は適切な形状にされなければならない。現存するシャワヘッド支持およびバイアスかけ組立体は、設計および製造するのに所望よりいくぶん複雑かつ高価である。たとえば、米国特許第5567243号明細書の図2、2Aおよび2Bを参照すると、図示されたシャワヘッド組立体は、多数の精密に機械加工された部品、製造中の比較的複雑な固定およびシール工程、およびPECVDプロセス用のRFまたは他の電源への必要以上に複雑な電気的接続を必要としている。そのような組立体は、製造するのが比較的困難かつ経費がかかるばかりでなく、それらは補修するのが一層困難かつ経費がかかる。さらに、一層複雑な組立体は、清掃するのが一層困難である。
現行のCVDガス供給システム、とくにシャワヘッドを利用するものに対する付加的欠点は、CVDおよびPECVDプロセス中のシャワヘッドの適当な温度制御の欠如である。化学蒸着プロセス中、種々の要素を所望の温度範囲内に保持するため、プロセス空間内におけるそれらの表面温度を制御することが望ましい。とくに、プロセスガスと接触するようになる、シャワヘッドのような要素に対して、それらの要素上の望ましくない沈着を防止するため、温度制御を維持することが望ましい。たとえば、窒化チタン(TiN)を析出するとき、前駆動プロセスガス、TiClおよびNHと接触するすべての表面を125℃から225℃の範囲内の温度に維持することが望ましい。そのような温度制御は反応室の内壁およびふた表面に対して可能であり、その理由は反応室が内部のかつ一体の加熱および冷却方式を有するからである。しかしながら、これらの室温制御システムは、一般にシャワヘッドの温度制御を実施しない。したがって、シャワヘッドの温度はCVDおよびPECVD中のプロセス空間の温度変動によって決定されるであろう。
したがって、本発明の目的は、CVDプロセス中に、製造、組立ておよび補修するのが簡単な組立体によってプロセスガスを供給することである。
本発明の別の目的は、CVDプロセス中に、製造、組立ておよび補修するのに経費がかからない組立体によって、プロセスガスを供給することである。
本発明のさらに別の目的は、プロセス空間内のすべての表面の適切な温度制御を維持しながら、CVDプロセスガスを供給することである。
本発明の特別の目的は、シャワヘッド上への沈着を防止するため、プロセスガスと接触するシャワヘッドの温度制御を実施することである。
本発明のなお別の目的は、シリコン基板上における窒化チタン(TiN)フィルムの沈着中ガス分散シャワヘッドの温度制御を実施すること、および沈着されるTiNフィルムの塩素含量を減少することである。
なお別の目的は、清掃および維持するのが一層簡単なCVDシャワヘッドを得ることである。
上記に論じられた目的および他の目的は、製造ならびに維持するのが簡単かつ経費のかからないガス分散シャワヘッド組立体を得る、本発明によって達成される。本発明のシャワヘッド組立体は、清掃するのが容易で、かつシャワヘッド組立体が設置される反応室の温度制御システムを利用して、またはCVDプロセス中に発生する熱を通じて温度制御可能である。そのため、本発明のシャワヘッド組立体は、窒化チタン(TiN)を沈着するためにとくに有用であり、またシャワヘッド上の好ましくないTiN析出を防止するためまたプロセス中のおよび基板上に析出したフィルム中の塩素含量を減少することにも有効である。
本発明のシャワヘッド組立体は、反応室本体の内壁に適当に形成された凹所によって所定位置に保持される、多数の、積重ねられた板状要素を有する。一実施例において、シャワヘッドは板状要素として形成され、室の壁によって支持される。他の実施例において、絶縁板がシャワヘッドの上下に積重ねられ、積重ねられた板は室の壁によって支持される。とくに、好適な一実施例において、反応室本体の側壁は平らなシャワヘッドおよびそれとともに積重ねられる他の板状要素をうけ入れるための、またそれらの周囲でそれらの要素を支持するための形状にされたたなを形成するため、機械加工される。反応室のたなはプロセスガスをプロセス空間内の基板に導入するためのプロセス空間近傍に積重ねられた要素を支持する。ふたはたなの上方に室本体上に設置され、CVDプロセスに対してその中における適当な圧力維持のために、プロセス空間を閉鎖する。そのようにして、複雑な取付け構造が排除され、その一方反応室本体のたなに各要素を、ガスがプロセス空間に適当に導入されかつシャワヘッドがRF電極として使用されるように、それらの平面が反応室のプロセス空間内の基板に全体的に平行になるような配置に保持する。
本発明の一実施例において、シャワヘッドはRFエネルギによつてバイアスをかけられ、かつ金属製反応室本体およびふたから電気的に絶縁される。RFバイアスされるシャワヘッドと金属製反応室との間に電気的絶縁を得るため、絶縁板がシャワヘッドおよび反応室本体およびふたの間にシャワヘッドの頂部および底部に設置される。下方電気絶縁板が室本体のたな上に設置され、シャワヘッドが下方絶縁板の頂部上に積重ねられる。プロセスガスがシャワヘッドを通ってプロセス空間内に達するように、下方絶縁板は、好適には、環状板または中に中央開口を有するリングの形式のものである。中央開口はシャワヘッドの多数のガス分散孔と一致している。環状リングは、通常たなに接触するその周辺においてシャワヘッドを絶縁する。シャワヘッドの適当な電気的にバイアスをかけるため、下方絶縁板は、好適には、RFエネルギ源のような、電気エネルギ源をシャワヘッドに接続するための、そこを通って形成された通路を有する。好適な実施例において、RF管状通路が室本体を通って形成され、下方板を通る通路は室本体の通路と整合している。
シャワヘッドの頂面に沿って電気的に絶縁するため、上方電気絶縁板がシャワヘッドの頂部上に積重ねられ、かつシャワヘッドおよび反応室本体およびふたの間に設置される。シャワヘッドの全頂面に亘って適当に絶縁するため、上方絶縁板は全体的にシャワヘッドと同じ長さで、下方板のような中央開口を有しない。したがって、上方絶縁板は全体的にリングよりむしろ無孔の円板の形式のものである。下方に延びる周縁フランジが、シャワヘッドの周縁を電気的に絶縁するため上方絶縁板の周囲に形成される。フランジは絶縁板の平面から下方に延長し、フランジの底面は第2絶縁板をシャワヘッドの上方に支持するためプロセス室のたな上に載置する。シャワヘッドの周辺は、その周縁に沿ってシャワヘッドを電気的に絶縁するためフランジによって囲まれる。上方絶縁板は、プロセスガスがシャワヘッドを通ってプロセス空間内に達する前に蓄積し得るシャワヘッド上方のガス分散空間を形成する。シャワヘッド上方のガス分散空間を室本体に形成されたプロセスガス管に接続するガス供給管が、上方絶縁板に形成される。このようにして、プロセスガスは、室本体を通って、ガス分散空間に、ついでシャワヘッドからプロセス空間内に給送される。
本発明の積重ねられたシャワヘッド組立体によって、複雑でない、耐久性のある、そして製造および維持に経費のかからないガス供給組立体が得られる。組立体はシャワヘッドの清掃を容易にする。さらに、上方絶縁板と下方絶縁板との共働は、本発明の別の原理によるサスセプタの適当な温度制御を可能にする。
CVDプロセス中、シャワヘッドの温度制御を維持すること、またとくにシャワヘッドを所望の温度範囲内に保持することが一般的に望ましい。たとえば、窒化チタン(TiN)を沈着するため、プロセスガスと接触するプロセス空間内のすべての表面を、それらの表面における早期のかつ望ましくない析出を防止するために、125℃から225℃の範囲内の温度に維持することが望ましい。そのような温度範囲はCVDが起こる温度範囲より低い。もしそのような低い温度がシャワヘッドに対して望まれるならば、絶縁板は窒化アルミニウムのような伝熱材料から形成される。ついで絶縁板はシャワヘッドを反応室壁にまた反応室のふたに有効に熱伝達する。反応室の本体壁および/またはふたの双方に設置された適当な冷却要素を有する冷却システムは反応室の温度を制御し、それゆえ、シャワヘッドの温度を制御する。同様に、もしシャワヘッドの温度を上げることが望まれるならば、室の本体壁および/またはふたの双方に加熱要素を有する加熱システムが利用可能である。ついで伝熱性絶縁板は、シャワヘッドを加熱された反応室本体に熱伝達し、シャワヘッドを加熱するであろう。
本発明の別の原理によれば、シャワヘッドは、上方および下方絶縁板を熱的に絶縁性のならびに電気的に絶縁性の物質から形成することによって、加熱されることができる。たとえば、水晶はそのような目的に役立つであろう。シャワヘッドは熱をサスセプタおよびプロセス室内の基板からうける。熱が水晶絶縁板を通って発散できないため、シャワヘッドの温度はサスセプタおよび基板の温度によつて大まかに決定される値まで上昇するであろう。
本発明の別の実施例において、もしプラスマ強化のためのRFバイアスを必要としない標準的CVDプロセスに対するように電気的絶縁が必要でないならば、シャワヘッドは、シャワヘッドを反応室本体に形成されたたな上に支持することにより、反応室本体およびふたに直接熱的に接続される。そのため、上方および下方絶縁板は利用されない。たなは、シャワヘッドを通って分散される前にプロセスガスを収集するための、シャワヘッドの上面近傍のガス分散空間を形成するような大きさにされている。
種々のプロセスガスを、それらがプロセス空間内に分散されるまで、分離されたままにされることが好ましい。本発明の別の実施例において、シャワヘッドの他の組の開口から物理的に分離される、ガス分散開口または孔の組を有する二重ガスシャワヘッドが利用可能である。そのようなシャワヘッドの一実施例において、一方のプロセスガスは、シャワヘッド上方のガス分散空間に供給され、一組のシャワヘッド開口を通って分散され、一方、他方のガスはシャワヘッドの内部通路内に供給され、他の組のシャワヘッド開口を通って分散される。二重ガスシャワヘッドは絶縁板とともにまたは絶縁板なしで利用可能である。
上記のように、本発明は、プロセスガスTiClおよびNHと接触するシャワヘッドおよび他の要素の温度を125℃と225℃との間の温度範囲に維持することが望ましい、窒化チタンを析出することに対してとくに有用である。シャワヘッドを本発明の原理に従って所望の温度に維持することにより、TiNがシャワヘッド上に、好ましくはほとんどまたはまったく、沈着しないであろう。さらに、シャワヘッド上の沈着の排除は基板上の沈着したTiNフィルムの塩素含量を減少し、また工程中に発生した塩素によって生ずる腐食を減少する。
本明細書と関連しかつその一部を構成する図面は本発明の実施例を図示し、下記に記載される発明の全体的説明とともに、発明の原理を説明するのに役立つ。
図1は本発明を具体化するCVD反応室10を示す。反応室10は、ステンレス鋼のような、適当な金属から形成された室本体12を有する。反応室本体12は、内壁16および底面18によって形成されたプロセス空間14を画定している。プロセス空間14の頂部は、本発明の原理に従って形成されたシャワヘッド組立体20によって画定されている。室本体12を閉鎖しそれによってプロセス空間14およびシャワヘッド組立体20を包囲するものは、同様に好適にはステンレス鋼から形成された室のふた22である。本体12とふた22との間を適当にシールするため、通常のO−リングシールのようなシールが利用される。反応室10内で実施されるべきCVDプロセスおよびPECVDプロセスに対して、内部プロセス空間は通常の低圧を必要とするであろう。そのため、反応室10は通常のように真空源(図示しない)に組合わされる。
プロセス空間14内に、シリコンウェーハのような基板26を支持するため、反応室10は基板26に対する支持プラットホームを形成する基板支持部またはサスセプタ28を有する。サスセプタ28はベース29上に載置し、図1に示されたように、シャワヘッド組立体20に全体的に平行に配置された基板26を支持する。特殊なCVDまたはPECVDプロセスに対して、基板26したがってサスセプタ28は加熱される必要があり、それゆえ、サスセプタ28はベース29を通して適当な温度制御システムに接続することができる。また、基板26上への均一な沈着のため、サスセプタ28および基板26を回転することが望ましいが、サスセプタの回転は必ずしも必要という訳ではない。そのため、サスセプタ28はベース29を外部回転制御システム32に接続されることができる。この技術の通常の熟練者によって、(図示しない)背面加熱システムおよび(図示しない)クランプ方式のような他のサスセプタ制御システムが、基板26を加熱、冷却しまたは基板26をサスセプタ28に固定するためサスセプタ28に利用可能であることは容易に理解されるであろう。
サスセプタ28上の基板26を加熱することおよび/または冷却することに加えて、反応室10を加熱するおよび/または冷却することが一般に好ましい。そのため、反応室本体ならびにふた22は、適当な加熱システム34および/または冷却システム36に接続することができる。加熱システム34は、室本体12およびふた22に適宜埋設される適当な導電性加熱システムに接続される。同様に、冷却システムは室本体12およびふた22に埋設される適当な導電性冷却システムに接続される。種々の要素を有するそのような加熱および冷却システムはこの技術において周知であり、かつ反応室10の温度を制御するために商業的に利用可能である。
本発明の原理によれば、シャワヘッド組立体20は、一組の積重ねられた、板状要素または板から形成され、それらは積重ねられた形状に配置され、反応室本体12の内壁16に形成された凹所によって構成された平らなたな上に支持されている。図1に示された実施例において、反応室ふた22も全体的に平らな形状をなし、それゆえ本発明の積重ねられたシャワヘッド組立体20に加えて他の板と考えることができる。図1を参照すると、平らなたなまたは肩部42が、室本体の頂部近傍の内壁16の周りに適当な凹所40を機械加工することにより、ふた22の付近の反応室本体12の内壁に形成される。たな42は反応室10内に全体的に水平に配置されている。たな42は内壁16の周りに延長しかつシャワヘッド組立体20の積重ねられた構造物をうけ入れるような形状にされている。たなは、基板にプロセスガスを導入するため、シャワヘッド組立体をプロセス空間14の周辺近傍にまた基板26の近傍に支持している。下記にさらに論ずるように、組立体の板要素はたな42およびたなによってその周辺において支持されている。
本発明のシャワヘッド組立体20は、プロセス空間14にプロセスガスを導入するための一組のガス分散開口または孔46を形成された、平らなまたは板状シャワヘッド44を有する。シャワヘッド44は円筒形反応室本体12の断面に適合するため好適には円形であり、かつアルミニウムのような適当な材料から形成されている。
シャワヘッド組立体20は、さらに、全体的に平坦なまたは平らな下方絶縁板50および上方絶縁板52を有する。下方絶縁板50は室本体の凹所40によって形成されたたな42によって支持され、かつシャワヘッド44を室本体12から電気的に絶縁するためシャワヘッド44と室本体のたな42との間に設置されている。図1に示されたように、下方絶縁板50は全体的に平坦なまたは平らな形をなし、かつ好適な実施例において、参照符号56によって画定された中央開口を有する環状板またはリングの形をなしている。シャワヘッド44は板50の頂部上に載置し、二つの要素は全体的に同心で、かつたな42によってそれらの周縁において支持されている。それにより、板50はシャワヘッド44をその周辺において包囲してシャワヘッドと室本体12との接触を阻止し、それゆえ、シャワヘッドを室本体から電気的に絶縁している。中央開口56はシャワヘッドの孔46の位置に整合しかつ対応し、それゆえシャワヘッドを通ってプロセス空間へのプロセスガスの通過を許すためプロセス空間14とシャワヘッド44との間の通路を開放している。すなわち、下方絶縁板50は全体的にシャワヘッド44を通ってプロセス空間14へ入るガスの通過を妨害しないであろう。
上記のように、シャワヘッドがPECVDプロセス中電極として作用するように、シャワヘッド44をRFエネルギ源によって電気的にバイアスをかけることがしばしば望ましい。そのため、図1に示された下方絶縁板50の実施例は、この技術において公知の通常の手段によって電線58をシャワヘッド44に接続するため貫通して形成された孔51を有する。一方、電線58はシャワヘッド44をPECVDに対して利用されるRF電極としてバイアスをかけるためのRFエネルギ源に接続されている。RFエネルギ源60とシャワヘッド44との間に線58を接続するため、適当な通路が室本体12に形成されている。
上方絶縁板52はシャワヘッド44を室本体12からだけでなく、反応室のふた22からも、電気的に分離しかつ絶縁する二重の機能を奏する。そのため、上方絶縁板52は平らな中央部分61および中央部分61の周りに延長する周辺フランジ部分62を有する。中央部分61は、シャワヘッド44を室のふたから電気的に分離するため、室のふた22と全体的に同じ大きさである。周辺フランジ部分62は部分61から垂下し、室本体12に形成された凹所40内に延長してシャワヘッド44をさらに電気的に分離している。フランジ部分62の下面65は絶縁板52をたなに支持するためたな42上に載置している。
図1から分かるように、周辺フランジ部分62は下方絶縁板50に接触してシャワヘッド44をそれらの間に積重ねられた形で拘束している。そのため、上方絶縁板52の周辺部分62は、シャワヘッドを二つの絶縁板の間に固定するため、下方絶縁板50と共働するたな64を有する。下方絶縁板50は上方絶縁板52のフランジ部分62の内径より直径が小さい。したがって、下方絶縁板50はシャワヘッドを完全に囲い込むため上方絶縁板52内に入り込んでいる。下方絶縁板の外周縁は、図1に示されたように上方絶縁板のたな64に当接している。また上方絶縁板52は、シャワヘッド上方にガス分散空間を形成するための形状にされている。シャワヘッド上方のフランジ部分62の高さは、空間66を画定するため中央部分61を持ち上げている。ガス分散空間66は本質的にシャワヘッド44とふた22との間に形成されている。上方絶縁板52はシャワヘッド44をふた22および室本体12から有効に電気的に絶縁するとともに、ガスがシャワヘッドの一側に導入されついでそこからプロセス空間14および基板26に分散し得るように、十分な分散空間を維持する。下方絶縁板50は、シャワヘッドに対する完全な絶縁性ケーシングを形成するため、図1に示されたように、周辺フランジ部分62の内側に嵌合するような大きさの直径にされている。プロセスガスを導入するため、ガス供給通路68は上方絶縁板52に形成され、図示のように、室本体12に適当に形成されたガス供給通路69と接続している。通路68および69は、プロセスガスをシャワヘッド44を通して分散されるための空間66に供給するため、プロセスガス供給源70に接続されている。このようにして、ガス供給通路68,69は、プロセスガスをシャワヘッド44に供給するためそこに連通している。利用されるプロセスガスの型は、所望の特殊なCVDまたはPECVDプロセスに依存する。
ガスが反応室12から逸出するのを防止するため、下方絶縁板50、上方絶縁板52およびシャワヘッド44は、図1に示された、積重ねられた組立体20として設置されるとき、プロセス空間14からシール24の外へのガスの移動を防止するため、適当なシール24がそれらの周縁の周りに施されるであろう。そうでなければ、O−リングシール72のような他のシールが、下方絶縁板50、シャワヘッド44および上方絶縁板52の間の種々の境界面に、ならびにO−リングシール74によって示されたように上方絶縁板52とふた22との間の境界面に利用可能である。この技術における通常の熟練者によって理解されるように、他の適当なシール構造も同様に利用可能である。たとえば、通常使用されるばねシールは図示の種々のO−リングシールと取換えて使用可能である。
本発明の別の原理によれば、シャワヘッド組立体20は、反応室本体12およびふた22を利用するシャワヘッドの間接温度制御を実施するため利用可能である。このため、下方絶縁板50および上方絶縁板52は、窒化アルミニウムのような伝熱性であるが電気的に絶縁性の物質から作られる。上記に論じたように、反応室本体12およびふた22は要素34aを通して加熱システムに接続されるか、そうでなければ、反応室10を選択的に加熱および冷却するため要素36aを通して冷却システムに接続される。下方絶縁板50および上方絶縁板52が所定位置にあり、シャワヘッド44がそれらの間に積重ねられているときの、図1に示されたシャワヘッド組立体20を利用して、シャワヘッドは反応室本体12およびふた22に伝熱的に有効に接続される。このようにしてシャワヘッドは、加熱システム34または冷却システム36によって維持されるような反応室10の温度の付近の温度に、伝導的に維持可能である。絶縁板50.52とシャワヘッド54との間の接触境界面は、室の温度によって示されたように、熱をシャワヘッドにまたそこから伝導する。
本発明の原理に従って、シャワヘッドを低温に維持する特殊な一つの利点は、反応室10を窒化チタンを沈着するために利用するときに実現する。チタン用の一つの通常のCVD法に利用されるプロセスガスは、TiClおよびNHである。これらのプロセスガスと接触するようになるすべての表面を、これらの表面における早期のかつ好ましくない沈着を防止するため、125℃から225℃の範囲内の温度に維持することが望ましい。従来、このことは上記のような種々の加熱および冷却システムを利用することにより、反応室の表面に対して可能であった。しかしながら、シャワヘッドおよびシャワヘッドの面に対しては本発明までは一般に可能ではなかった。シャワヘッド44を、伝熱物質から形成される絶縁板50.52を通して反応室10に熱的に接続または伝熱することにより、シャワヘッド44の面はシャワヘッド上の窒化チタンの沈着が最少になるように所望の温度範囲に維持可能である。
シャワヘッドを伝熱材料から作られた絶縁板を通して反応室に熱伝達することは、層の塩素含量をも減少し層の腐食を減少することにより、基板26上に沈着される窒化チタンに対する利点を生ずる。とくに、シャワヘッド上の望ましくない窒化チタンの析出を排除することにより、プロセス空間14内のHClの形の塩素の含量は減少する。HClは、窒化チタンを形成するためのTiClとNHの間の反応の副産物である。HClは窒化チタンに対して腐食性であり、したがってHCl発生の減少は基板26上に高品質の窒化チタン層を生ずるため沈着層の腐食を減少する。さらに、HClの減少は基板26上に沈着された層およびフィルムの塩素含量をも減少することが予想される。沈着されたTiN層中の塩素は層の特性に有害な影響を及ぼす。
本発明の別の原理によれば、シャワヘッド44を加熱することが望ましい。上記のように、そのような加熱はシャワヘッドを伝熱物質から作られた絶縁板50,52を備えた反応室に熱伝達し、ついで加熱システム34を反応室に接続することによって達成される。しかしながら、シャワヘッドの他の加熱方法は、水晶のような、断熱物質の下方絶縁板50および上方絶縁板52を形成することを含んでいる。シャワヘッド44は、CVD反応中熱をサスセプタ26および基板26からうけ入れる。シャワヘッドに供給された熱は下方および上方絶縁板50,52を通して反応室に伝導的に消散することはできない。したがって、シャワヘッドの温度は、サスセプタ28および基板26の温度により全体的に決定される、ある値まで上昇する。シャワヘッド温度の独立性の少ない制御が、伝熱性絶縁板50,52を使用して反応室へシャワヘッドを伝熱するのと反対のそのような方法によって得られることが認められる。
組立体20は、容易に組立ておよび分解され、それゆえ容易に補修される。積重ねられた板状要素は清掃および補修のため容易に除去され、別の固定具によっては一緒に連結されない。
図2はシャワヘッド44を反応室80から電気的に絶縁する必要がない、本発明の別の実施例を示す。すなわち、室80は熱CVDに使用され、シャワヘッド44のRFバイアスをかける必要はない。そのため、下方絶縁板50および上方絶縁板52は、除去可能で、シャワヘッド44は、本体82およびふた84を有する反応室80に直接取付けられる。たな88を有する凹所86は、シャワヘッド44がたな88上に取付けられかつ支持されるように、反応室本体82に形成される。たな88は、ふた84とシャワヘッド44との間に、適当な管92を通ってプロセスガス源に接続される、ガス分散空間89が形成される。管の一部は空間89と接続する目的で室本体82内に形成される。
図2に示された実施例において、金属製シャワヘッド44はそのたな88への連結部を通して反応室80に直接熱的に接続される。シャワヘッドを反応室の本体82およびふた84に直接熱伝達し、シャワヘッドは、適当な加熱システム94および要素94aまたは冷却システム96および96aによって反応室を加熱および冷却することにより、直接加熱および冷却可能である。図2に示された実施例は、本発明の原理に従って、容易かつ少ない経費で製造および組立ておよび補修される。さらに、シャワヘッドの温度制御が実施される。上記のように、図2の実施例は、金属製シャワヘッド44上の沈着を減少するため、またフィルム層における塩素含量および基板98上の層の腐食を減少するため、窒化チタンの析出に対して有用である。基板98を支持するため、図1に示されたサスセプタと同様の、かつその種々の構成要素およびシステムを利用する、サスセプタ99が利用可能である。
図3は、シャワヘッドの他の別の実施例を利用する反応室100を示す。シャワヘッド102は、二つの異なったプロセスガスがプロセスに空間104内にかつ基板106の近傍に、プロセス空間の前で二つのガスを混合することなしに、導入するために設計されている。基板106は、上記のサスセプタと同様のサスセプタ108上に支持されている。シャワヘッド102は、反応室100に示されているが、図1に示された反応室10、ならびに図2に示された反応室80にも利用可能である。すなわち、図3は反応室100に直接熱的および電気的に接続されたシャワヘッド102を示しているが、シャワヘッド102は、上記に記載されたような絶縁板を利用して反応室から電気的におよび/または熱的に絶縁されることもできる。
シャワヘッド102は、ガス分散空間112に接続されるそこに形成された一組の通路110を有する。供給源114からのプロセスガスは、その一部が室本体101内に形成される、ガス供給管116を通って空間112に接続される。もちろん、管116は室100のふた103を通して直接指向されることもでき、管の適当な部分は、上記のように、反応室10,80においても形成することができるふた103を通して形成される。供給源114から導入されたガスは分散空間112を通って移動し、ついで基板106付近のプロセス空間104内にガス分散通路110を通って指向される。またシャワヘッド102は、通路110と同様な形状および大きさとなし得る複数の通路122に接続される、第2ガス分散空間120を備えるように形成される。空間120は適当なガス供給管124に接続され、その一部は101に形成される。一方、管124は、プロセスガス供給源126に接続される。たとえば、窒化チタンを析出するとき、
TiClガスは管124および通路122を通って導入され、一方NHのような他のガスは管116および通路110を通って導入される。このようにして、二つのガスはシャワヘッドにおいて混合することを防止され、基板106の近傍においてだけ混合する。共願の米国特許出願連続番号08/940779号において論じられているように、シャワヘッド102上のまたはガス分散通路110,112における好ましくない沈着をさらに防止するため、成分ガスの早期の混合を防止することが好ましい。上記のように、シャワヘッド102は、本発明の原理に従って、シャワヘッドを電気的におよび/または熱的に絶縁するため絶縁板を利用可能である。
本発明はその実施例の記載によって説明されたが、また実施例はかなり詳細に記載されたが、添付の請求の範囲をそのような細目に限定またはいずれの意味においても制限することは、本発明者の意図ではない。付加的利点および変形はこの技術に熟練した人々に容易に明瞭になるであろう。したがって、本発明はその広い観点において装置および方法を代表する特殊な細目、図示されかつ記載された説明的実施例に限定されるものでない。したがって、そのような細目からの離脱は、出願人の全体的な発明概念の精神または範囲から離脱することなくなされてもよい。
本発明のシャワヘッド組立体を利用するPECVD用に適した反応室の略断面図。 本発明を利用する反応室の別の実施例の略断面図。 本発明を利用する反応室のなお別の実施例の略断面図。
符号の説明
10 反応室
12 室本体
14 プロセス空間
16 内壁
18 底面
20 シャワ反応室ヘッド
22 ふた
26 基板
28 サスセプタ
29 ベース
32 外部回転制御システム
34 加熱システム
34a 要素
36 冷却システム
36a 要素
40 凹所
42 肩部
44 シャワヘッド
46 孔
50 下方絶縁板
52 上方絶縁板
56 中央開口
58 電線
60 RFエネルギ源
61 中央部分
62 フランジ部分
64 たな
65 下面
66 空間
68 ガス供給通路
69 ガス供給通路
70 プロセスガス供給源
72 O−リングシール
74 O−リングシール
80 室
82 本体
84 ふた
86 凹所
88 たな
89 ガス分散空間
92 管
94 加熱システム
94a 要素
96 冷却システム
96a 冷却システム
99 サスセプタ
100 反応室
101 室本体
102 シャワヘッド
103 ふた
104 空間
106 基板
108 サスセプタ
110 ガス分散通路
112 分散空間
114 供給源
116 管
120 空間
122 通路
124 管
126 プロセスガス供給源

Claims (8)

  1. プロセスガスを使用する基板上への物質層の化学蒸着用反応室において、該反応室が、
    基板を収容するためのプロセス空間を画定する内壁を有する室本体、
    プロセス空間を有効に閉鎖するため室本体と接続する形状にされたふた、
    プロセスガスをプロセス空間内に分散するための室本体内側に設置された電気的にバイアスをかけられた平らなシャワヘッド、
    室本体の内壁に設置されたたなであって、室本体のたながプロセスガスをプロセス空間内の基板に導入するためのプロセス空間近傍のその周縁においてシャワヘッドをうけ入れかつシャワヘッドを支持する形状にされたたな、
    を有し、それにより経費のかからないかつ容易に補修される化学蒸着用ガス供給システムが得られる化学蒸着用反応室。
  2. シャワヘッドがたなによって支持されるときの前記シャワヘッドの一側の近傍に第1ガス分散空間をさらに有し、ガス分散空間はプロセスガスがシャワヘッドを通って分散される前に反応室に供給されるプロセスガスを蓄積するために作動しうる請求項1に記載された反応室。
  3. ガス分散空間が前記ふたとシャワヘッドとの間に画定されている請求項2に記載された反応室。
  4. 室本体に設けられたガス供給通路をさらに有し、ガス供給通路はプロセス空間内に分散されるべきプロセスガスをシャワヘッドに供給するための前記シャワヘッドと作動的に連通する請求項1に記載された反応室。
  5. シャワヘッドに電気的にバイアスをかけるため電気エネルギ源をシャワヘッドに接続するための室本体に設けられた通路をさらに有する請求項1に記載された反応室。
  6. 室本体に設けられたガス供給通路をさらに有し、ガス供給通路はプロセスガスをシャワヘッドに供給するため前記ガス分散空間と作動的に連通する請求項2に記載された反応室。
  7. 前記シャワヘッドが第2ガス分散空間を有し、第2ガス分散空間は異なったプロセスガスが分散される前に混合することなくシャワヘッドを通って分散しうるように第1ガス分散空間から分離されている請求項1に記載された反応室。
  8. プロセスガスを使用する基板上に物質層を適用するための化学蒸着方法であって、その方法が
    基板を収容するプロセス空間を画定する内壁を有する反応室を設けること、
    基板をプロセス空間に設置すること、
    室の内壁にたなをプロセス空間の近傍に設けること、
    プロセス空間内にプロセスガスを分散するため室内側に電気的にバイアスをかけられた平らなシャワヘッドを設置すること、および
    平らなシャワヘッドをそれがプロセス空間内の基板にプロセスガスを導入するためのプロセス空間の近傍にその周縁において支持されるように堆積すること、
    を含む化学蒸着方法。
JP2004129752A 1998-04-09 2004-04-26 ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体 Pending JP2004285479A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/057,818 US5997649A (en) 1998-04-09 1998-04-09 Stacked showerhead assembly for delivering gases and RF power to a reaction chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP55181699A Division JP3597871B2 (ja) 1998-04-09 1999-04-08 ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体

Publications (1)

Publication Number Publication Date
JP2004285479A true JP2004285479A (ja) 2004-10-14

Family

ID=22012939

Family Applications (2)

Application Number Title Priority Date Filing Date
JP55181699A Expired - Fee Related JP3597871B2 (ja) 1998-04-09 1999-04-08 ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体
JP2004129752A Pending JP2004285479A (ja) 1998-04-09 2004-04-26 ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP55181699A Expired - Fee Related JP3597871B2 (ja) 1998-04-09 1999-04-08 ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体

Country Status (7)

Country Link
US (1) US5997649A (ja)
JP (2) JP3597871B2 (ja)
KR (1) KR100390592B1 (ja)
DE (1) DE19980683C2 (ja)
GB (1) GB2339577B (ja)
TW (1) TW575678B (ja)
WO (1) WO1999053116A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007162081A (ja) * 2005-12-14 2007-06-28 Tokyo Electron Ltd 高圧処理装置及び高圧処理方法
WO2009089244A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
WO2010132716A2 (en) * 2009-05-13 2010-11-18 Applied Materials, Inc. Anodized showerhead
JP2013536539A (ja) * 2010-05-27 2013-09-19 アプライド マテリアルズ インコーポレイテッド クーラント流量の制御及びヒーターのデューティーサイクルの制御による部品温度制御

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6547922B2 (en) * 2000-01-31 2003-04-15 Canon Kabushiki Kaisha Vacuum-processing apparatus using a movable cooling plate during processing
US6712929B1 (en) * 2000-08-08 2004-03-30 Lam Research Corporation Deformation reduction at the main chamber
TWI334888B (ja) * 2000-09-08 2010-12-21 Tokyo Electron Ltd
US6370796B1 (en) * 2000-09-29 2002-04-16 Sony Corporation Heater block cooling system for wafer processing apparatus
JP3607664B2 (ja) * 2000-12-12 2005-01-05 日本碍子株式会社 Iii−v族窒化物膜の製造装置
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
JP4782761B2 (ja) * 2001-02-09 2011-09-28 東京エレクトロン株式会社 成膜装置
KR100676979B1 (ko) 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
KR100429296B1 (ko) * 2002-09-09 2004-04-29 한국전자통신연구원 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법
JP3877157B2 (ja) * 2002-09-24 2007-02-07 東京エレクトロン株式会社 基板処理装置
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
WO2005045913A1 (ja) * 2003-11-05 2005-05-19 Tokyo Electron Limited プラズマ処理装置
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7220943B2 (en) * 2004-03-26 2007-05-22 Aviza Technology Limted RF stand offs
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US8034213B2 (en) * 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5069427B2 (ja) * 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
WO2008029589A1 (fr) * 2006-09-08 2008-03-13 Tokuyama Corporation Procédé et matériel servant à produire un nitrure d'un élément du groupe iii
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8733279B2 (en) 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
CN101680090B (zh) * 2007-06-19 2012-11-07 东京毅力科创株式会社 真空处理装置
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US9155134B2 (en) 2008-10-17 2015-10-06 Applied Materials, Inc. Methods and apparatus for rapidly responsive heat control in plasma processing devices
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN101908579B (zh) * 2009-06-05 2012-01-11 亚洲太阳科技有限公司 用于薄膜太阳能电池制造的智能式冷却系统
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
KR200457817Y1 (ko) * 2009-12-28 2012-01-05 주식회사 케이씨텍 원자층 증착장치의 샤워헤드 유닛
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102012205616B4 (de) * 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
KR102212369B1 (ko) * 2012-08-23 2021-02-03 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버들을 세정하기 위한 방법 및 하드웨어
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR20150046966A (ko) * 2013-10-23 2015-05-04 삼성디스플레이 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
CN104916517B (zh) * 2014-03-11 2017-06-09 上海华虹宏力半导体制造有限公司 反应腔与石英管的隔离装置及其控制方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371828A1 (en) * 2014-06-24 2015-12-24 Applied Materials, Inc. Low cost wide process range microwave remote plasma source with multiple emitters
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US20160096193A1 (en) * 2014-10-03 2016-04-07 Applied Materials, Inc. Parylene deposition process
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
CN104498905B (zh) * 2015-01-05 2017-03-01 中国科学院半导体研究所 用于金属有机化合物化学气相沉积设备反应室的进气顶盘
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP2019503077A (ja) * 2016-01-07 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源及びdc電極を伴う原子層エッチングシステム
KR20180112794A (ko) * 2016-01-22 2018-10-12 어플라이드 머티어리얼스, 인코포레이티드 전도성 층들이 매립된 세라믹 샤워헤드
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7121446B2 (ja) * 2018-08-22 2022-08-18 アプライド マテリアルズ インコーポレイテッド 高密度プラズマ化学気相堆積チャンバ
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021021403A1 (en) * 2019-07-26 2021-02-04 Applied Materials, Inc. Evaporator chamber for forming films on substrates
KR102652014B1 (ko) * 2020-05-12 2024-03-28 세메스 주식회사 기판 처리 장치

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3369989A (en) * 1964-07-22 1968-02-20 Ibm Cathode sputtering apparatus including precision temperature control of substrate
JPS6098629A (ja) * 1983-11-02 1985-06-01 Hitachi Ltd プラズマ処理装置
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
JPS63187619A (ja) * 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH0639709B2 (ja) * 1988-09-05 1994-05-25 日立電子エンジニアリング株式会社 プラズマcvd装置
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JPH02234419A (ja) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3400027B2 (ja) * 1993-07-13 2003-04-28 ティーディーケイ株式会社 鉄系軟磁性焼結体の製造方法およびその方法により得られた鉄系軟磁性焼結体
US5685937A (en) * 1993-09-22 1997-11-11 Batesville Casket Company, Inc. Method for constructing a casket
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
WO1995034092A1 (en) * 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007162081A (ja) * 2005-12-14 2007-06-28 Tokyo Electron Ltd 高圧処理装置及び高圧処理方法
WO2009089244A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US9196462B2 (en) 2008-01-10 2015-11-24 Applied Materials, Inc. Showerhead insulator and etch chamber liner
WO2010132716A2 (en) * 2009-05-13 2010-11-18 Applied Materials, Inc. Anodized showerhead
WO2010132716A3 (en) * 2009-05-13 2011-02-10 Applied Materials, Inc. Anodized showerhead
US9758869B2 (en) 2009-05-13 2017-09-12 Applied Materials, Inc. Anodized showerhead
JP2013536539A (ja) * 2010-05-27 2013-09-19 アプライド マテリアルズ インコーポレイテッド クーラント流量の制御及びヒーターのデューティーサイクルの制御による部品温度制御

Also Published As

Publication number Publication date
DE19980683T1 (de) 2000-05-11
KR100390592B1 (ko) 2003-07-07
GB2339577A (en) 2000-02-02
DE19980683C2 (de) 2003-03-27
JP2001508836A (ja) 2001-07-03
US5997649A (en) 1999-12-07
WO1999053116A1 (en) 1999-10-21
JP3597871B2 (ja) 2004-12-08
GB9927809D0 (en) 2000-01-26
TW575678B (en) 2004-02-11
KR20010013553A (ko) 2001-02-26
GB2339577B (en) 2002-12-18

Similar Documents

Publication Publication Date Title
JP3597871B2 (ja) ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体
KR100492135B1 (ko) 페이스플레이트, 그 페이스플레이트를 포함하는 반응기
JP4511722B2 (ja) 化学気相堆積用リアクタ
US6140215A (en) Method and apparatus for low temperature deposition of CVD and PECVD films
KR100676979B1 (ko) 성막 장치
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
KR20010080758A (ko) 고온 화학기상증착 챔버
KR20090027747A (ko) 기판 가열기 조립체
EP0855452A1 (en) Process and apparatus for depositing titanium layers
JPH07283292A (ja) シール機構並びにこのシール機構を用いた処理装置及び処理方法
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
US20240068096A1 (en) Showerhead Assembly with Heated Showerhead
WO2023200465A1 (en) Showerhead assembly with heated showerhead
JPH01279763A (ja) 気相成長装置