KR100390592B1 - 반응 챔버에 기체 및 고주파 전력을 공급하는 적층 샤워헤드 어셈블리 - Google Patents

반응 챔버에 기체 및 고주파 전력을 공급하는 적층 샤워헤드 어셈블리 Download PDF

Info

Publication number
KR100390592B1
KR100390592B1 KR10-1999-7011560A KR19997011560A KR100390592B1 KR 100390592 B1 KR100390592 B1 KR 100390592B1 KR 19997011560 A KR19997011560 A KR 19997011560A KR 100390592 B1 KR100390592 B1 KR 100390592B1
Authority
KR
South Korea
Prior art keywords
showerhead
reaction chamber
gas
insulating plate
chamber
Prior art date
Application number
KR10-1999-7011560A
Other languages
English (en)
Other versions
KR20010013553A (ko
Inventor
힐만조셉티.
Original Assignee
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄 엘렉트론 가부시키가이샤 filed Critical 도쿄 엘렉트론 가부시키가이샤
Publication of KR20010013553A publication Critical patent/KR20010013553A/ko
Application granted granted Critical
Publication of KR100390592B1 publication Critical patent/KR100390592B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Abstract

처리 기체를 사용하여 기판상에 재료층을 화학 증착시키는 반응 챔버(10)는 기판을 포함하는 처리 공간(14)를 규정하는 내벽(16)을 가지는 챔버 본체(12)와, 상기 처리 공간을 효과적으로 밀폐시키는 덮개(22)와, 처리 기체를 처리 공간내로 분산시키기 위해 챔버 본체(12) 내부에 위치되는 평면 샤워헤드(44)를 포함한다. 하부 절연판(50)은 챔버 본체로부터 샤워헤드를 전기적으로 절연시키기 위해 샤워헤드와 챔버 본체(12) 사이에서 샤워헤드(44)의 일면상에 위치되며, 상부 절연판(52)은 챔버 본체와 덮개(22)로부터 샤워헤드를 전기적으로 절연시키기 위해 샤워헤드와 챔버 본체(12) 사이 및 샤워헤드와 덮개 사이에서 샤워헤드(44)의 다른 면 상에 위치된다. 계단부(42)는 챔버 본체의 내벽에 구비되며, 상기 평면 샤워헤드(44)와 상부 절연판(52) 및 하부 절연판(50)은 적층 구조체로 배치되고, 상기 구조체는 처리 기체를 처리 공간내의 기판에 도입시키기 위해 상기 처리 공간(14)에 인접하여 계단부(42)상에 위치된다.

Description

반응 챔버에 기체 및 고주파 전력을 공급하는 적층 샤워헤드 어셈블리{STACKED SHOWERHEAD ASSEMBLY FOR DELIVERING GASES AND RF POWER TO A REACTION CHAMBER}
집적 회로(IC's)의 형성에 있어서는, 반도체 웨이퍼와 같은 기판의 표면 상에, 금속 및 비금속 성분을 포함하는 막과 같은 얇은 재료 막 또는 층을 증착시키는 것이 자주 필요하다. 상기 박막의 목적은 회로에 전도성 및 저항성 콘택트를 제공하고 집적 회로의 다양한 디바이스들 사이에 전도성 층 또는 배리어 층을 제공하는 것이다. 예컨데, 소정의 막이 기판의 절연층 상의 콘택트 또는 비아 홀의 노출된 표면에 적용될 수 있으며, 상기 막은 상기 절연층을 관통하여, 상기 절연층을 가로질러 전기적 연결을 구성하기 위해 전도성 재료의 플러그를 제공한다.
상기 막을 증착시키는 공지된 한가지 방법은 화학 증착법(CVD)이며, 그 증착법에서는 일반적으로 처리 기체로서 인용되는 다양한 성분 기체 또는 반응 기체들 사이의 화학 반응을 사용하여 기판 상에 막이 증착된다. CVD에서, 처리 기체는 기판을 포함하는 반응 챔버의 처리 공간내로 공급된다. 상기 기체는 상기 기판에 인접한 처리 공간내에서 반응하며, 그에 따라 하나 이상의 반응 부산물이 생긴다. 그후, 상기 반응 부산물은 상기 기판상에 증착되어 노출된 기판 표면 상에 소정의 막을 생성한다.
또한, 광범위하게 사용되는 상기 CVD법의 다른 변경예는 개선된 플라즈마 CVD법 또는 PECVD법으로서, 그 방법에서는 하나 이상의 상기 처리 기체가 반응 공정에 에너지를 제공하기 위해 기체 플라즈마로 이온화된다. PECVD에서는, 일반적으로 표준 CVD와의 적절한 반응에 필요한 처리 온도 및 열에너지를 낮추는 것이 바람직하다. PECVD에서는, 전기 에너지가 처리 기체 또는 기체들에 공급되어 플라즈마를 생성 및 유지하므로, 반응에 필요한 열에너지는 보다 적어진다.
상기 PECVD법에 대하여, 처리 기체 공급 요소와 같은 처리 공간내의 기판 및 처리 공간내의 다른 평면 요소을 지지하는 평면 서셉터 또는 평면 지지체는 하나 이상의 반응 기체를 이온화된 플라즈마로 활성화시키는 대향 고주파 전극으로서 작동하도록 고주파 에너지에 의해 전기적으로 바이어스된다. 상기 평면 서셉터 및 다른 바이어스된 평면 요소는 일반적으로 서로 평행하게 유지되어 기판이 사이에 위치되어 있는 바이어스된 전기 판들을 자극하기 때문에, 상기 방법은 평행판 PECVD법이라 통칭된다. 또한, 상기 기판은 전기적으로 바이어스된 판 또는 요소들에 대해 일반적으로 평행하게 유지된다.
CVD 및 PECVD법에서는, 처리 공간에 그리고 기판의 부근에 처리 기체를 적절하게 공급하는 것이 중요하다. 상기 처리 기체는 기체 공급 시스템을 통해 처리 공간 및 기판에 공급되고, 상기 공급 시스템은 증착법에 바람직한 기체의 적합한 유동 및 분배를 제공한다. 일반적으로 상기 기체 공급 시스템은 반응 챔버내에 인젝터 링 또는 편평한 샤워헤드와 같은 기체-분산 요소를 포함하며, 상기 기체 분산 요소는 주입되는 처리 기체를 처리 공간 주위로 퍼뜨려 상기 기체를 기판 부근에서 균일하게 분배 및 유동시킨다. 균일한 기체 분배 및 기체 유동은 균일하고 유효한 증착 공정, 농후한 플라즈마(PECVD를 위한) 및 기판상에 균일하게 증착된 막을 위해 바람직하다. 링 및 샤워헤드 모두는 처리 기체를 도입시키기 위해 통과가능한 형태로 이루어진다. PECVD에 대하여, 샤워헤드는 기체 분산 요소로서 뿐만 아니라 바이어스된 전극으로서의 이중 기능을 하기 때문에 특히 바람직한 것으로 입증되었다.
종래의 고주파 PECVD 공정은, 일반적으로 평행한 바이어스된 서셉터 맞은 편에 있는, 바이어스된 평면 기체 샤워헤드를 사용한다. 상기 PECVD 및 샤워헤드 구조체는 본원에 관계되는 미국 특허 제 5,567,243호에 개시되어 있다. 또 다른 샤워헤드 구조체는 발명의 명칭이 "CVD 및 PECVD 반응에서 반응 기체의 조기 혼합을 방지하는 장치 및 방법"인 미국 특허출원 제 08/940,779호에 개시되어 있다. 상기 특허와 계류중인 출원 모두는 전체적으로 본원에 참조로서 합체된다.
상기 샤워헤드 구조체는 적절한 PECVD막을 생성하지만, 그러한 구조체는 복잡한 지지 및 바이어싱 어셈블리를 필요로 한다. 그러한 어셈블리는 기판 부근의 반응 챔버 내부에 연결되므로 상기 반응 챔버는 적합하게 구성되어야 한다. 상기 샤워헤드 지지 및 바이어싱 어셈블리는 설계 및 제조가 다소 복잡하고 고가이다. 예컨데, 미국 특허 제 5,567,243호의 도 2, 도 2a 및 도 2b을 참조하면, 다수의 정밀하게 기계가공된 부품, 제조 중에 비교적 복잡한 체결 및 밀봉 단계, 필요이상으로 복잡한 PECVD법을 위한 고주파 또는 다른 전기 공급원의 전기적 연결을 필요로 하는 샤워헤드 어셈블리가 개시되어 있다. 상기 어셈블리는 제조하기에 비교적 난해하고 고가일 뿐만아니라 유지하기에도 어렵고 고가이다. 더욱이, 어셈블리가 복잡할 수록 청소하기가 어려워진다.
현재의 CVD 기체 공급 시스템, 특히 샤워헤드를 사용하는 시스템의 또 다른 결점은 CVD 및 PECVD 공정 중에 샤워헤드의 온도를 적절하게 제어할 수 없다는 것이다. 화학 증착 공정 중에, 처리 공간내의 다양한 요소의 표면 온도를 소정의 온도 범위로 유지되도록 제어하는 것이 바람직하다. 특히, 샤워헤드와 같은 요소상의 바람직하지 않은 증착을 방지하기 위해, 처리 기체와 접촉하는 샤워헤드의 온도 제어를 유지하는 것이 바람직하다. 예컨데, 질화 티타늄(TiN)을 증착시킬 때, 선구 처리 기체, TiCl4및 NH3와 접촉하는 모든 표면을 125℃ 내지 225℃ 범위의 온도로 유지하는 것이 바람직하다. 상기 반응 챔버는 내부 및 일체형 가열 및 냉각 시스템을 포함하기 때문에, 반응 챔버의 내벽 및 덮개 표면에 대한 온도 제어가 가능하다. 그러나, 이러한 챔버 온도 제어 시스템은, 일반적으로 샤워헤드의 온도 제어를 제공하지는 않는다. 그러므로, 상기 샤워헤드의 온도는 CVD 및 PECVD 공정 중에 처리 공간의 가변적인 온도 변화에 의해 결정되는 경향이 있다.
따라서, 본 발명의 목적은 제조, 조립 및 유지가 간단한 어셈블리를 사용하여 CVD 공정에 처리 기체를 공급하는 것이다.
본 발명의 다른 목적은 제조, 조립 및 유지가 저렴한 어셈블리를 사용하여 CVD 공정에 처리 기체를 공급하는 것이다.
본 발명의 또 다른 목적은 처리 공간내의 모든 표면의 적당한 온도 제어를 유지하면서 CVD 처리 기체를 공급하는 것이다.
본 발명의 또 다른 목적은 샤워헤드상에서의 증착을 방지하기 위해 처리 기체와 접촉하는 샤워헤드의 온도를 제어하는 것이다.
본 발명의 또 다른 목적은 실리콘 기판 상에 질화 티타늄(TiN) 막을 증착하는 중에 기체-분산 샤워헤드의 온도를 제어하고 증착된 질화 티타늄 막 내의 염소 함유량을 감소시키는 것이다.
본 발명의 또 다른 목적은 청소 및 유지가 보다 간단한 CVD 샤워헤드를 제공하는 것이다.
본 발명은 일반적으로 화학 증착법(CVD) 및 개선된 플라즈마 화학 증착법(PECVD)에 관한 것으로서, 특히 CVD 및 PECVD에 반응 기체 성분을 공급하는 한편, 상기 공급되는 기체 성분의 적절한 열적 제어를 유지하는 단순하며 내구성이 있는 기체 공급 시스템을 제공하는 장치 및 방법에 관한 것이다.
본 명세서의 일부를 형성하는 하기 도면은 본 발명의 실시예를 도시하고 본 발명의 원리는 하기 도면과 관련되어 설명될 것이다.
도 1은 본 발명의 샤워헤드 어셈블리를 이용하는 PECVD를 위해 적용된 반응 챔버의 개략 단면도.
도 2는 본 발명을 이용하는 반응 챔버의 대안적인 실시예의 개략 단면도.
도 3은 본 발명을 이용하는 반응 챔버의 다른 대안적인 실시예의 개략 단면도.
상기에 언급된 목적들 및 다른 목적들은 간단하고 저렴하게 제조 및 유지할 수 있는 기체-분산 샤워헤드 어셈블리를 제공하는 본 발명에 의해 달성된다. 본 발명의 샤워헤드 어셈블리는 청소가 용이하고, 샤워헤드 어셈블리가 설치된 반응 챔버의 온도 제어 시스템을 사용하여 또는 CVD 공정 중에 발생된 열을 통해 온도가 제어될 수 있다. 이 때문에, 상기 본 발명의 샤워헤드 어셈블리는 질화 티타늄(TiN)을 증착시키는데 특히 유용하고, 샤워헤드 상에 불필요한 질화 티타늄 막의 증착을 감소시키는데 효과적이며, 또한 공정 중의 및 기판상에 증착된 질화 티타늄 막 내의 염소 함유량을 감소시키는데 효과적이다.
본 발명의 샤워헤드 어셈블리는 복수의 적층된 판형상 요소를 포함하며, 상기 판형상 요소는 반응 챔버 본체의 내벽에 적합하게 형성된 리세스에 의해 적소에 유지된다. 일실시예에 있어서, 상기 샤워헤드는 판-형상 요소로서 형성되고 챔버의 벽에 의해 지지된다. 다른 실시예에 있어서, 절연판들은 샤워헤드의 위아래에 적층되고, 상기 적층된 판들은 챔버의 벽에 의해 지지된다. 특히, 양호한 일실시예에 있어서, 상기 반응 챔버 본체의 측벽은 평면 샤워헤드 및 그 샤워헤드에 적층되는 다른 판형상 요소를 수용하고 상기 요소를 그 요소의 외주를 따라서 지지하도록 구성되는 계단부를 형성하도록 기계가공된다. 상기 반응 챔버 계단부는 상기 적층된 요소를 처리 공간에 인접되게 지지하며, 상기 적층된 요소는 처리 기체를 처리 공간내의 기판에 도입시킨다. 덮개는 계단부 위의 챔버 본체상에 위치되고, CVD 공정 중에 내부의 적정 압력 유지를 위해 처리 공간을 밀폐시킨다. 이러한 방식으로, 복잡한 장착 구조체가 제거되며, 상기 요소의 평면이, 일반적으로 반응 챔버의 처리 공간내의 기판에 평행하므로 기체가 처리 공간내에 적절하게 도입되고, 상기 샤워헤드가 고주파 전극으로서 사용될 수 있는 배향으로, 상기 반응 챔버 본체 계단부는 상기 요소를 유지한다.
본 발명의 일실시예에서는, 상기 샤워헤드는 고주파 에너지를 사용하여 바이어스되고 금속 반응 챔버 본체 및 덮개로부터 전기적으로 절연된다. 고주파 바이어스 샤워헤드와 금속 반응 챔버 사이에 전기적 절연을 제공하기 위해, 절연판들은 샤워헤드와 반응 챔버 본체 사이 및 샤워헤드와 덮개 사이에 샤워헤드의 상부 및 하부상에 위치된다. 하부 전기 절연판은 챔버 본체 계단부 상에 위치되고, 상기 샤워헤드는 상기 하부 절연판의 상부상에 적층된다. 상기 처리 기체가 샤워헤드를 통과하여 처리 공간내로 들어가도록, 상기 하부 절연판은 바람직하게는 중심 개구를 가지는 환형 판 또는 링 형상으로 이루어진다. 상기 중심 개구는 샤워헤드 내의 복수의 기체 분산 개구와 일치한다. 상기 환형 링은 일반적으로 계단부와 접촉하여 그 둘레로 샤워헤드를 절연시킨다. 상기 샤워헤드의 적절한 전기적 바이어싱을 위해, 상기 하부 절연판은 바람직하게는 고주파 에너지원과 같은 전기 에너지원을 샤워헤드에 연결하는 관통 형성된 통로를 포함한다. 양호한 실시예에서, 고주파 라인 통로는 챔버 본체를 통해 형성되고, 상기 하부 절연판을 관통하는 통로는 챔버 본체의 통로와 정렬된다.
상기 샤워헤드의 상부면을 따라 전기적 절연을 제공하기 위해, 상부 절연판이 샤워헤드의 상부에 적층되고, 샤워헤드와 반응 챔버 본체 및 덮개 사이에 위치된다. 상기 샤워헤드의 전체 상부면 위에 적합한 절연을 제공하기 위해, 상기 상부 절연판은, 일반적으로 샤워헤드와 동일한 공간에 걸치며, 하부 절연판과 같이 중심 개구를 포함하지는 않는다. 그러므로, 상기 상부 절연판은 일반적으로 링보다는 오히려 중실 디스크 형상이다. 아래로 연장되는 주위 플랜지는 상부 절연판의 주위를 따라서 형성되어 샤워헤드의 주변 에지를 전기적으로 절연시킨다. 상기 플랜지는 절연판의 평면으로부터 아래로 연장되고, 상기 플랜지의 저부면은 처리 챔버 계단부 상에 위치하여 샤워헤드 위의 제 2 절연판을 지지한다. 상기 샤워헤드 주변부는 그 주변 에지를 따라서 샤워헤드를 전기적으로 절연시키기 위해 플랜지에 의해 둘러싸인다. 상기 상부 절연판은 샤워헤드 위에 기체 분산 공간을 생성하는데, 그 공간은 처리 기체가 샤워헤드를 통해 처리 공간내로 도입되기 전에 축적될 수 있는 공간이다. 기체 공급 라인은 상부 절연판내에 형성되며, 상기 상부 절연판은 샤워헤드 위의 기체 분산 공간을 챔버 본체내에 형성된 처리 기체 라인에 연결시킨다. 이러한 방식으로, 상기 처리 기체는 챔버 본체를 통과해 기체 분산 공간내로 공급되고, 그 다음 샤워헤드를 통과해 처리 공간내로 공급된다.
본 발명의 상기 적층 샤워헤드 어셈블리는 제조 및 유지가 복잡하지 않으며, 내구성 있고, 또한 저렴한 기체 공급 어셈블리이다. 상기 어셈블리는 샤워헤드의 간단한 청소를 제공한다. 더욱이, 상기 상부 절연판 및 하부 절연판 사이의 협동은 본 발명의 다른 원리에 따라서 서셉터의 적당한 온도 제어를 제공한다.
CVD 공정 중에, 샤워헤드의 온도 제어를 유지하는 것, 특히 소정의 온도 범위내로 샤워헤드의 온도를 유지하는 것이 바람직하다. 예컨데, 질화 티타늄(TiN)을 증착시키기 위해, 처리 기체와 접촉하는 처리 공간내의 모든 표면을 125℃ 내지 225℃ 범위의 온도로 유지하여 상기 표면상에 조기의 불필요한 증착을 방지하는 것이 바람직하다. 상기 온도 범위는 CVD가 발생되는 평균 온도보다 낮다. 그러한 낮은 온도가 샤워헤드에 대해 바람직하다면, 상기 절연판은 질화 알루미늄과 같은 열전도성 재료로 제조된다. 그후, 상기 절연판은 반응 챔버의 벽 및 반응 챔버의 덮개에 대해 샤워헤드를 열적으로 효과적으로 매설시킨다. 상기 본체의 벽 및 반응 챔버의 덮개 내에 위치된 적절한 냉각 요소를 포함하는 냉각 시스템은 반응 챔버의 온도를 제어함으로써 샤워헤드의 온도를 제어한다. 유사하게, 상기 샤워헤드의 온도를 높이는 것이 바람직하다면, 본체의 벽 및 반응 챔버의 덮개 내에 위치된 가열 요소를 포함하는 가열 시스템이 사용될 수 있다. 열전도성이 있는 상기 절연판은 가열된 반응 챔버 본체 및 덮개에 대해 샤워헤드를 매설시킴으로써 샤워헤드를 가열한다.
본 발명의 다른 원리에 따라서, 상기 샤워헤드는 열전도성 및 전기절연성이 있는 재료로 상부 및 하부 절연판을 형성함으로써 가열될 수 있다. 예컨데, 석영은 그러한 목적에 적합하다. 상기 샤워헤드는 반응 챔버내의 서셉터 및 기판으로부터 열을 수용한다. 상기 열은 석영 절연판을 통해 소산될 수 없기 때문에, 상기 샤워헤드 온도는 서셉터 및 기판 온도에 의해 결정된 값까지 상승한다.
본 발명의 대안적인 실시예에서는, 플라즈마 개선을 위해 고주파 바이어싱을 필요로하지 않는 표준 CVD 공정에서와 같이 전기적 절연이 필요하지 않다면, 반응 챔버 본체내에 형성된 계단부상에 샤워헤드를 지지함으로써, 상기 샤워헤드는 반응 챔버 본체 및 덮개에 열적으로 직접 연결된다. 이 때문에, 상기 상부 및 하부 절연판은 사용되지 않는다. 상기 계단부는 샤워헤드의 상부면에 인접한 기체 분산 공간을 생성하도록 치수 설계되며, 상기 기체 분산 공간은 처리 기체가 샤워헤드를 통과해 분산되기 전에 처리 기체를 수집한다.
처리 기체가 처리 공간내로 분산되기까지 다양한 처리 기체를 분리된 상태로 유지하는 것이 바람직하다. 본 발명의 대안적인 실시예에서는, 샤워헤드내의 개구 세트로부터 물리적으로 분리된 기체 분산 개구 세트를 가지는 이중-기체 샤워헤드가 사용될 수 있다. 상기 샤워헤드의 실시예에서는, 하나의 처리 기체가 샤워헤드 위의 기체 분산 공간에 공급되고, 샤워헤드의 하나의 개구 세트를 통해 분산되며, 다른 기체는 샤워헤드내의 내부 통로내로 공급되고 다른 개구 세트를 통해 분산된다. 상기 이중-기체 샤워헤드는 절연판과 함께 또는 절연판 없이 사용될 수 있다.
상기에 언급된 바와 같이, 본 발명은 처리 기체(TiCl4및 NH3)와 접촉하는 샤워헤드 및 임의의 다른 요소들의 온도를 125℃ 내지 225℃의 온도 범위로 유지하는 것이 바람직한 것을 특징으로 하는 질화 티타늄을 증착시키는데 특히 유용하다. 본 발명의 원리에 따라서 샤워헤드를 소정 온도로 유지함으로써, 바람직하게는 질화 티타늄은 거의 또는 전혀 샤워헤드상에 증착되지 않게 된다. 더욱이, 상기 샤워헤드 상의 증착의 배제는 기판 상에 증착된 질화 티타늄 막 내의 염소 함유량을 감소시키고, 또한 상기 공정 중에 발생된 염소에 의한 부식을 감소시킨다.
도 1은 본 발명을 구체화하는 CVD 반응 챔버(10)를 도시한다. 상기 반응 챔버(10)는 스테인레스 스틸과 같은 적합한 금속으로 형성된 챔버 본체(12)를 포함한다. 상기 반응 챔버 본체(12)는 내벽(16) 및 저면(18)에 의해 내부에 형성된 처리 공간(14)을 규정한다. 상기 처리 공간의 상부는 본 발명의 원리에 따라 형성된 샤워헤드 어셈블리(20)에 의해 규정된다. 상기 챔버 본체(12)를 밀폐시켜 처리 공간(14) 및 샤워헤드 어셈블리(20)를 둘러싸는 것은, 바람직하게는 스테인레스 스틸로 제조된 챔버 덮개(22)이다. 본체(12)와 덮개(22) 사이에 적절한 밀봉을 제공하기 위해, 종래의 O-링 밀봉체와 같은 밀봉체가 사용된다. 상기 반응 챔버(10)내에서 수행될 CVD 및 PECVD 공정에 대해, 상기 내부 처리 공간은 종래의 저압을 필요로 한다. 이 때문에, 반응 챔버(10)는 종전처럼 진공원(도시되지 않음)에 적합하게 연결된다.
실리콘 웨이퍼와 같은 기판(26)을 상기 처리 공간(14)내에서 지지하기 위해, 상기 반응 챔버(10)는 기판(26)용 지지 플랫폼을 형성하는 기판 지지체 또는 서섭터(28)를 포함한다. 도 1에 도시된 바와 같이, 서셉터(28)는 베이스(29)상에 위치되어, 일반적으로 샤워헤드 어셈블리(20)에 평행하게 배향되는 기판(26)을 지지한다. 특정 CVD 및 PECVD 공정 중에, 상기 기판(26) 및 서셉터(28)는 가열될 필요가 있고 서셉터(28)는 베이스(29)를 통해 적합한 온도 제어 시스템(30)에 연결될 수 있다. 또한, 기판의 회전이 반드시 필수적인 것은 아니지만, 상기 기판상의 균일한 증착을 위해 서셉터(28) 및 기판(26)을 회전시키기는 것이 바람직하다. 이 때문에, 서셉터(28)는 베이스(29)를 통해 외부 회전 제어 시스템(32)에 연결될 수 있다. 배면 가열 시스템 및 클램핑 시스템(도시되지 않음)과 같은 다른 서셉터 제어 시스템이 서셉터(28)에 기판(26)을 고정시키기거나 또는 기판(26)을 가열 및 냉각시키기 위해 서셉터(28)와 함께 사용될 수 있다는 것은 당업자에 의해 이해될 수 있다.
상기 서셉터(28)상의 기판(26)을 가열 및 냉각하는 것 외에, 반응 챔버(10)를 가열 및 냉각하는 것이 일반적으로 바람직하다. 이 때문에, 상기 반응 챔버 본체(12) 및 덮개(22) 모두가 적합한 가열 시스템(34) 및 냉각 시스템(36)에 연결된다. 상기 가열 시스템(34)은 통상적으로 챔버 본체(12) 및 덮개(22)내에 매설되어 있는 적합한 전도성 가열 요소(34a)에 연결된다. 유사하게, 상기 냉각 시스템(36)은 통상적으로 챔버 본체(12) 및 덮개(22)내에 매설되어 있는 적합한 전도성 냉각 요소(36a)에 연결된다. 다양한 요소들을 포함하는 상기 가열 및 냉각 시스템은 당해 기술 분야에서 공지되어 있고 반응 챔버(10)의 온도를 제어하기 위해 상업적으로 이용되고 있다.
본 발명의 원리에 따라서, 상기 샤워헤드 어셈블리(20)는 일련의 적층된 판 형상 요소들 또는 판들로 형성되고, 상기 판들은 적층 형상으로 배열되고 상기 반응 챔버 본체(12)의 내벽(16)내에 형성된 리세스에 의해 형성된 편평한 계단부 상에 지지된다. 또한, 도 1에 도시된 실시예에 있어서, 상기 반응 챔버 덮개(22)는 일반적으로 평면 형상이므로 본 발명의 적층 샤워헤드 어셈블리(20) 외에 다른 판이 고려될 수 있다. 도 1을 참조하면, 상기 챔버 본체의 상부 부근의 내벽(16) 둘레의 적합한 리세스(40)를 기계가공함으로써, 편평한 계단부(42)가 덮개(22) 부근의 반응 챔버 본체(12)의 내벽(16)에 형성된다. 상기 계단부(42)는 일반적으로 반응 챔버(10) 내에서 수평하게 배향된다. 상기 계단부(42)는 내벽(16) 둘레로 연장되고, 양호하게는 적층된 구조의 샤워헤드 어셈블리(20)를 수용하도록 형성되고 배치된다. 상기 계단부는 처리 기체를 기판에 도입시키기 위해 처리 공간(14) 및 기판(26) 부근의 주위에서 상기 샤워헤드 어셈블리를 지지한다. 하기에서 다시 설명되겠지만, 상기 어셈블리의 판 요소는 계단부(42)상에 위치하고, 적층된 구조체는 그 주변 에지가 계단부에 의해 지지된다.
본 발명의 샤워헤드 어셈블리(20)는 평면 또는 판-형상 샤워헤드(44)를 포함하며, 상기 샤워헤드(44)의 내부에는 처리 기체를 처리 공간(14)에 도입시키는 일련의 기체-분산 개구(46)가 형성된다. 바람직하게는, 샤워헤드(44)는 원통형 반응 챔버 본체(12)의 원형 단면과 조화되는 원형이고, 알루미늄과 같은 적합한 재료로 제조된다.
상기 샤워헤드 어셈블리(20)는 일반적으로 편평한 또는 평면인 하부 절연판(50) 및 상부 절연판(52)을 부가로 포함한다. 상기 하부 절연판은 챔버 본체의 리세스(40)에 의해 형성된 계단부(42)에 의해 지지되고, 샤워헤드(44) 및 챔버 본체의 계단부(42) 사이에 위치되어, 챔버 본체(12)로부터 샤워헤드(44)를 전기적으로 절연시킨다. 도 1에 도시된 바와 같이, 상기 하부 절연판(50)은 일반적으로 편평한 또는 평면 형상이고, 양호한 실시예에서는, 참조부호 56으로 규정된 중심 개구를 가지는 환형 판 또는 링의 형상을 취한다. 상기 샤워헤드(44)는 절연판(50)의 상부에 위치하고, 상기 두 요소는 일반적으로 동축 및 동심 관계이며, 계단부(42)에 의해서 그들의 주변 에지에서 지지된다. 이로 인해, 절연판(50)은 그 둘레에서 샤워헤드(44)를 둘러싸서 샤워헤드 및 챔버 본체(12) 사이의 접촉을 방지함으로써, 챔버 본체로부터 샤워헤드를 전기적으로 절연시킨다. 상기 중심 개구(56)는 샤워헤드의 개구(46)의 위치에 대응하여 정렬되므로, 처리 공간(14) 및 샤워헤드(44) 사이의 경로를 개방시켜, 샤워헤드로부터 처리 공간내로 처리 기체를 통과시킨다. 즉, 일반적으로 상기 하부 절연판(50)은 샤워헤드(44)로부터 처리 공간(14)내로 기체의 통과를 방해하지 않는다.
상기에 언급된 바와 같이, 상기 샤워헤드가 PECVD 공정 중에 전극으로서 작용하도록, 고주파 에너지원을 사용하여 샤워헤드를 전기적으로 바이어스시키는 것이 바람직하다. 이 때문에, 도 1에 도시된 상기 하부 절연판(50)의 실시예는, 당해 기술 분야에 공지된 종래의 수단을 사용하여 샤워헤드(44)에 전기 라인(58)을 연결시키기 위해 절연판(50)을 관통하여 형성된 개구(51)를 포함한다. 그 다음, 전기 라인(58)은 고주파 에너지원(60)에 연결되어 PECVD 공정에서 사용된 고주파 전극으로서의 샤워헤드를 바이어스시킨다. 상기 고주파 에너지원(60) 및 샤워헤드(44) 사이에 라인(58)을 연결하기 위해, 적합한 통로가 챔버 본체(12)내에 형성된다.
상기 상부 절연판(52)은 챔버 본체(12)로부터 뿐만아니라 반응 챔버 덮개(22)로부터 샤워헤드(44)를 전기적으로 격리시키고 절연시키는 2중 기능을 수행한다. 이 때문에, 상기 상부 절연판(52)은 평면 중심부(61)와 상기 중심부(61) 둘레를 따라서 연장되는 주위 플랜지부(62)를 포함한다. 상기 중심부(61)는 챔버 덮개(22)와 같은 공간에 걸쳐 챔버 덮개로부터 샤워헤드(44)를 전기적으로 절연시킨다. 상기 주위 플랜지부(62)는 중심부(61)로부터 하측으로 매달려 있고 챔버 본체(12)내에 형성된 리세스(40)내로 연장되며, 더욱이 샤워헤드(44)를 전기적으로 절연시킨다. 플랜지부(62)의 저면(65)은 계단부(42)상에 위치되어 계단부 상에 절연판(52)을 지지한다.
도 1에 도시된 바와 같이, 상기 주위 플랜지부(62)는 하부 절연판(50)과 접촉하고, 효과적으로 적층되는 방식으로 그 사이에 샤워헤드를 효과적으로 고정한다. 이 때문에, 상기 상부 절연판(52)의 주위 플랜지부(62)는, 하부 절연판(50)과 결합하여 두 절연판 사이에 샤워헤드를 고정하는 계단부(64)를 포함한다. 상기 하부 절연판(50)은 상기 상부 절연판(52)의 플랜지부(62)의 내경보다 작은 직경을 가진다. 그러므로, 상기 하부 절연판(50)은 상부 절연판(52) 내부에 포개져서 샤워헤드를 완전하게 둘러싼다. 도 1에 도시된 바와 같이, 상기 하부 절연판의 외주 에지는 상부 절연판(52)의 계단부(64)에 접한다. 또한 절연판(52)은 샤워헤드 위에 기체 분산 공간(66)을 형성하도록 구성된다. 상기 샤워헤드 위의 플랜지부(62)의 높이는 공간(66)을 규정하기 위해 중심부(61)를 높인다. 상기 기체 분산 공간(66)은 본질적으로 샤워헤드(44)와 덮개(22) 사이에 형성된다. 상기 절연판(52)은 덮개(22) 및 챔버본체(12)로부터 샤워헤드를 효과적으로 전기적으로 절연시키면서, 기체가 샤워헤드의 일면상에 도입되어 처리 공간(14) 및 기판(26)에 분산되기에 충분한 분산 공간을 유지한다. 상기 하부 절연판(50)은 도 1에 도시된 바와 같이, 주위 플랜지부(62) 내부에 끼워맞추어지도록 치수결정되어 상기 샤워헤드에 대한 완전한 절연 케이싱을 형성한다. 처리 기체를 도입시키기 위해, 도시된 바와 같이, 기체 공급 통로(68)가 상부 절연판(52)내에 형성되고, 챔버 본체(12)내에 형성된 기체 공급 통로(69)와 적절하게 연결된다. 상기 통로(68, 69)는 샤워헤드(44)를 통해 분산되는 처리 기체를 공간(66)에 공급하는 처리 기체 공급원(70)에 연결된다. 이러한 방식으로, 상기 기체 공급 통로(68, 69)는 샤워헤드(44)와 연결되어 처리 기체를 공급한다. 상기에 이용된 처리 기체의 형태는 소정의 특정 CVD 및 PECVD 공정에 의존한다.
상기 반응 챔버(12)로부터 기체가 누출되는 것을 방지하기 위해, 상기 하부 절연판(50), 상부 절연판(52) 및 샤워헤드(44)는 바람직하게는 미세하고 정밀하게 기계가공되어, 도 1에 도시된 바와 같이 상기 적층된 어셈블리(20)내에 위치될 때, 적합한 밀봉부가 그들의 주변 에지 둘레로 형성되어 처리 공간(14)으로부터 밀봉부(24) 외부로 기체가 이동되는 것을 방지한다. 대안적으로, O-링 밀봉체(72)와 같은 다른 밀봉체가 하부 절연판(50), 샤워헤드(44) 및 상부 절연판(52) 사이의 다양한 경계면에서 이용되며, 0-링 밀봉체(74)가 상부 절연판(52)과 덮개(22) 사이의 경계면에서 이용된다. 당업자에게 자명한 바와 같이, 다른 적합한 밀봉 구조체가 사용될 수 있다. 예컨데, 통상적으로 사용되는 스프링 밀봉체가 도시된 다양한 O-링 밀봉을 대신해서 사용될 수 있다.
본 발명의 다른 원리에 따라서, 샤워헤드 어셈블리(20)는 반응 챔버 본체(12) 및 덮개(22)를 사용하여 샤워헤드의 간접적인 온도 제어를 제공하는데 사용된다. 이 때문에, 상기 하부 절연판(50) 및 상부 절연판(52)은 질화 알루미늄과 같은 열전도성이 있으면서 전기절연성이 있는 재료로 제조된다. 상기에 언급된 바와 같이, 반응 챔버(10)를 선택적으로 가열하고 냉각시키기 위해, 상기 반응 챔버 본체(12) 및 덮개(22)는 요소(34a)를 통해 가열 시스템(34)에 연결되거나 또는 요소(36a)를 통해 냉각 시스템(36)에 연결된다. 도 1에 도시된 샤워헤드 어셈블리(20)를 이용하여, 상기 하부 절연판(50) 및 상부 절연판(52)이 그 사이에 적층된 샤워헤드(44)와 함께 위치될 때, 상기 샤워헤드는 반응 챔버 본체(12) 및 덮개(22)에 열전도성이 있게 효과적으로 연결된다. 이러한 방식으로, 상기 샤워헤드는 가열 시스템(34) 또는 냉각 시스템(36)에 의해 유지된 반응 챔버(10)의 온도에 근접한 온도로 전도성 있게 유지될 수 있다. 챔버의 온도에 의해 지시된 바와 같이, 상기 절연판(50, 52) 및 샤워헤드(44) 사이의 접촉경계면은 샤워헤드까지 그리고 샤워헤드로부터 열을 전도한다.
본 발명의 원리에 따라, 샤워헤드를 저온으로 유지하는 주요한 하나의 장점은 반응 챔버(10)를 사용하여 질화 티타늄을 증착시킬 때 두드러진다. 종래의 질화 티타늄용 CVD 방법을 위해 사용된 처리 기체는 TiCl4및 NH3이다. TiCl4및 NH3를 사용할 때, 상기 처리 기체와 접촉하는 모든 표면을 125℃ 내지 225℃로 유지하여 상기 표면 상에 조기의 불필요한 증착을 방지하는 것이 바람직하다. 과거에, 이것은 상기에 언급된 바와 같이, 다양한 가열 및 냉각 시스템을 이용함으로써 반응 챔버 표면에 대해서는 가능했다. 그러나, 본 발명 이전에는, 샤워헤드 및 샤워헤드 표면에 대해서는 일반적으로 불가능했다. 열전도성 재료로 제조된 절연판(50, 52)을 통해 샤워헤드(44)를 반응 챔버(10)에 열적으로 결합시키거나 매설함으로써, 상기 샤워헤드(44)의 표면은 소정의 온도로 유지되어 샤워헤드 상에 질화 티타늄 증착이 최소화된다.
열전도성 재료로 제조된 절연판을 통해 샤워헤드를 반응 챔버에 열전도성 있게 매설하는 것은, 질화 티타늄층의 염소(Cl) 함유량 및 층 부식을 감소시킴으로써, 기판(26)상에 증착된 질화 티타늄층에 이점을 제공한다. 더욱이, 상기 샤워헤드 상에 불필요한 티타늄 증착물을 제거함으로써, 상기 처리 공간(14)내의 HCl 형태의 염소 함유량은 감소된다. HCl은 질화 티타늄을 형성하기 위한 TiCl4및 NH3사이의 반응의 부산물이다. HCl은 질화 티타늄을 부식시키므로, HCl 생산물의 감소는 증착된 층의 부식을 감소시켜 기판(26)상에 고품질의 질화 티타늄층을 제공한다. 더욱이, HCl의 감소는 기판(26)상에 증착된 층 및 막의 염소 함유량을 감소시킨다는 것이 예상된다. 증착된 질화 티타늄층내의 염소는 상기 층의 특성에 해로운 영향을 미친다.
본 발명의 다른 원리에 따르면, 샤워헤드(44)를 가열하는 것이 바람직하다. 상기에 언급된 바와 같이, 그러한 가열은 열전도성 재료로 제조된 절연판(50, 52)을 가진 반응 챔버에 샤워헤드를 열적으로 매설하고 그 다음 반응 챔버에 가열 시스템(34)을 연결하는 것을 통해 달성된다. 그러나, 샤워헤드를 가열하는 다른 방법은 석영과 같은 열절연성 재료로 이루어진 상부 절연판(52) 및 하부 절연판(50)을 형성하는 것을 포함한다. CVD 공정 중에, 샤워헤드(44)는 서셉터(28) 및 기판(26)으로부터 열을 수용한다. 상기 샤워헤드에 공급된 열은 하부 및 상부 절연판(50, 52)을 통해 반응 챔버에 전도성있게 소산될 수 있다. 그러므로, 상기 샤워헤드 온도는 일반적으로 서셉터(28) 및 기판(26)의 온도에 의해 결정되는 어느 정도까지만 상승된다. 거의 독립적이지 못한 상기 샤워헤드 온도의 제어는 열전도성 절연판(50, 52)을 사용하는 반응 챔버에 상기 샤워헤드를 열적으로 매설하는 방법과 반대되는 상기 방법에 의해 제공된다.
상기 어셈블리(20)는 용이하게 조립 및 분리되므로 용이하게 유지된다. 상기 적층된 판-형상 요소들은 청소 및 유지보수를 위해 용이하게 제거될 수 있고, 개별적인 패스너에 의해 함께 연결되지 않는다.
도 2는 반응 챔버(80)로부터 샤워헤드(44)를 전기적으로 절연시키는 것이 반드시 필요한 것은 아닌 본 발명의 대안적인 실시예를 도시한다. 즉, 챔버(80)는 열 CVD(thermal CVD)를 위해 사용되고 샤워헤드(44)의 고주파 바이어싱은 필요하지 않다. 이 때문에, 상기 하부 절연판(50) 및 상부 절연판(52)은 생략될 수 있고, 샤워헤드(44)는 본체(82) 및 덮개(84)를 가지는 반응 챔버(80)에 직접 장착된다. 계단부(88)를 포함하는 리세스(86)는 반응 챔버 본체(82)내에 형성되고 샤워헤드(44)는 계단부(88)상에 장착되어 지지된다. 계단부(88)는 본체(82)내에 형성되므로, 덮개(84)와 샤워헤드(44) 사이에는 적절한 라인(92)을 통해 처리 기체 공급원(90)에 연결되는 기체 분산 공간(89)이 형성된다. 상기 라인의 일부는 공간(89)과 연결하기 위해 챔버 본체(82)내에 형성된다.
도 2에 도시된 실시예에서는, 상기 금속 샤워헤드(44)는 계단부(88)를 통해 반응 챔버(80)에 직접 열적으로 결합된다. 샤워헤드가 반응 챔버의 본체(82) 및 덮개(84)에 열적으로 직접적으로 매설되기 때문에, 상기 샤워헤드는 적절한 가열 시스템(94) 및 요소(94a) 또는 냉각 시스템(96) 및 요소(96a)를 구비한 가열 및 냉각 반응 챔버(80)에 의해 직접적으로 가열 및 냉각될 수 있다. 도 2에 도시된 실시예는 본 발명의 원리에 따라서 용이하고 저렴하게 제조 및 조립되어 유지된다. 더욱이, 상기 샤워헤드의 온도 제어가 제공된다. 상기에 언급된 바와 같이, 도 2의 실시예는 질화 티타늄 증착에 대해 특히 유용하여, 샤워헤드 상에 증착하는 것을 감소시키고 또한 상기 막 내의 염소 함유량을 감소시키며 기판(26)상의 층의 부식을 감소시킨다. 기판(26)을 지지하기 위해, 도 1에 도시된 서셉터와 유사하며, 다양한 부재 및 시스템을 사용하는 서셉터(99)가 사용될 수 있다.
도 3은 샤워헤드의 다른 대안적인 실시예를 사용하는 반응 챔버(100)를 도시한다. 샤워헤드(102)는 처리 공간에 도입되기 전에는 두 개의 기체가 혼합되지 않도록 두 개의 상이한 처리 기체를 처리 공간(104)내로 및 기판(106) 부근에 도입시키도록 설계된다. 기판(106)은 상기에 언급된 서셉터와 유사한 서셉터(108)상에 지지된다. 샤워헤드(102)가 반응 챔버(100)내에 사용되는 것으로 도시되어 있지만, 도 2에 도시된 반응 챔버(80)내에 뿐만 아니라 도 1에 도시된 반응 챔버(10)내에도 사용될 수 있다. 즉, 도 3은 열적 및 전기적으로 반응 챔버(100)에 결합된 샤워헤드(102)를 도시하지만, 샤워헤드(102)는 또한 상기에 개시된 절연판을 사용하는 반응 챔버으로부터 열적 및 전기적으로 절연될 수 있다.
샤워헤드(102)는 내부에 형성되는 일련의 통로(110)를 포함하며, 그 통로(110)는 기체 분산 공간(112)에 연결된다. 공급원(114)로부터의 처리 기체는 기체 공급 라인(116)을 통해 상기 공간(112)에 연결되며, 그 라인의 일부는 챔버 본체(101)내에 형성된다. 물론, 또한, 상기 라인(116)은 챔버(100)의 덮개(103)를 통해서도 안내될 수 있으며, 또한 그 라인의 일부는 상기에 언급된 반응 챔버(10, 80)내에서도 행해질 수 있는 덮개(103)를 통해 형성될 수 있다. 공급원(114)으로부터 도입된 기체는 분산 공간(112)을 통해 이동하고, 그 후에 기체 분산 통로(110)를 거쳐 기판(106)에 인접한 처리 공간(104)내로 유도된다. 또한 샤워헤드(102)는 제 2 기체 분산 공간(120)을 포함하도록 구성되며, 상기 제 2 기체 분산 공간(120)은 형상 및 크기에 있어서 통로(110)와 유사한 복수의 통로(122)에 연결된다. 공간(120)은 적절한 기체 공급 라인(124)에 연결되며, 그 라인의 일부는 챔버 본체(101)내에 형성된다. 이어서, 라인(124)은 처리 기체 공급원(126)에 연결된다. 예컨데, 질화 티타늄을 증착시킬 때, TiCl4기체는 라인(124) 및 통로(122)를 통해 도입되며, NH3와 같은 나머지 처리 기체는 라인(116) 및 통로(110)를 통해 도입된다. 이 방식으로, 상기 두가지 기체는 샤워헤드내에서 혼합되는 것이 방지되고 단지 기판(106)에 인접한 처리 공간(104)내에서만 혼합된다. 동시 계류중인 미국 특허출원 제 08/940,779호에 개시된 바와 같이, 샤워헤드(102) 또는 기체 분산 통로(110, 122)내에 불필요한 증착을 방지하기 위해 구성 기체의 조기 혼합을 방지하는 것이 바람직하다. 상기에 언급된 바와 같이, 샤워헤드(102)는 본 발명의 원리와 일치하여 샤워헤드를 열적 및 전기적으로 절연시키는 절연판과 함께 사용될 수 있다.
본 발명이 상기 실시예들의 설명에 의해 도시되고, 상기 실시예가 매우 상세하게 기재되어 있지만, 청구범위를 상기 설명에 제한하는 것은 본 출원인의 의도가 아니다. 추가적인 장점 및 변경이 당업자에게 명백하다. 그러므로, 더욱 넓은 관점에서, 본 발명은 특정 장치 및 방법 그리고 도시되거나 기재된 예에 국한되지 않는다. 따라서, 출원인의 일반적 발명 사상의 정신을 벗어나지 않는다면 변경이 가능하다.

Claims (33)

  1. 처리 기체를 사용하여 기판 상에 재료층을 화학 증착시키는 반응 챔버에 있어서,
    기판을 포함하는 처리 공간을 규정하는 내벽을 가지는 챔버 본체와,
    상기 처리 공간을 효과적으로 밀폐시키기 위해 상기 챔버 본체와 결합하도록 형성된 덮개와,
    상기 처리 기체를 상기 처리 공간 내로 분산시키기 위해 상기 챔버 본체 내부에 위치되는 평면 샤워헤드와,
    상기 챔버 본체의 상기 내벽에 제공되는 계단부를 포함하고,
    상기 챔버 본체의 계단부는 상기 처리 공간 내의 기판에 처리 기체를 도입시키기 위해 상기 샤워헤드를 수용하여 그 샤워헤드의 주변 에지를 상기 처리 공간에 인접되게 지지하도록 형성되며,
    이로 인해 기체 공급 시스템이 화학 증착을 위해 제공되는 반응 챔버.
  2. 제 1 항에 있어서, 상기 샤워헤드가 상기 계단부에 의해 지지될 때 상기 샤워헤드의 일면에 인접하는 제 1 기체 분산 공간을 부가로 포함하며, 상기 기체 분산 공간은, 상기 처리 기체가 상기 샤워헤드를 통해 분산되기 전에, 상기 반응 챔버에 공급된 처리 기체를 축적하도록 작용하는 반응 챔버.
  3. 제 2 항에 있어서, 상기 기체 분산 공간은 상기 덮개와 상기 샤워헤드 사이에 규정되는 반응 챔버.
  4. 제 1 항에 있어서, 상기 챔버 본체 내에 제공되는 기체 공급 통로를 부가로 포함하며, 상기 기체 공급 통로는 처리 기체를 상기 샤워헤드에 공급하여 상기 처리 공간내로 분산시키기 위해 상기 샤워헤드와 연통되는 반응 챔버.
  5. 제 1 항에 있어서, 상기 샤워헤드를 전기적으로 바이어스시키도록 상기 샤워헤드에 전기 에너지원을 연결시키기 위해 상기 챔버 본체내에 제공되는 통로를 부가로 포함하는 반응 챔버.
  6. 제 2 항에 있어서, 상기 챔버 본체내에 제공되는 기체 공급 통로를 부가로 포함하며, 상기 기체 공급 통로는 상기 샤워헤드에 처리 기체를 공급하기 위해 상기 기체 분산 공간과 연통되는 반응 챔버.
  7. 제 1 항에 있어서, 상기 챔버 본체 계단부에 의해 지지되는 제 1 절연판을 부가로 포함하며, 상기 제 1 절연판은 상기 챔버 본체로부터 상기 샤워헤드를 전기적으로 절연시키기 위해 상기 샤워헤드와 상기 챔버 본체 사이에 위치되는 반응 챔버.
  8. 제 7 항에 있어서, 상기 제 1 절연판은 내부에 중심 개구를 가지는 링 형태로 이루어지며, 상기 링은 그 둘레에서 상기 샤워헤드와 접촉하여 상기 샤워헤드를 절연시키고, 상기 중심 개구는 상기 기체를 상기 처리 공간 내로 도입시키기 위해 상기 샤워헤드내의 기체 분산 개구와 정렬되는 반응 챔버.
  9. 제 7 항에 있어서, 상기 제 1 절연판은 상기 샤워헤드를 전기적으로 바이어스시키도록 상기 제 1 절연판을 통해 상기 샤워헤드에 전기 에너지원을 연결시키기 위해 상기 제 1 절연판을 관통하여 형성된 통로를 포함하는 반응 챔버.
  10. 제 7 항에 있어서, 상기 제 1 절연판은 열전도성 재료로 형성되는 반응 챔버.
  11. 제 7 항에 있어서, 상기 제 1 절연판은 열절연성 재료로 형성되는 반응 챔버.
  12. 제 1 항에 있어서, 상기 챔버 본체 계단부에 의해 지지되는 제 2 절연판을 부가로 포함하며, 상기 제 2 절연판은 상기 챔버 본체 및 덮개로부터 상기 샤워헤드를 전기적으로 절연시키기 위해 상기 샤워헤드와 상기 챔버 본체 사이 및 상기 샤워헤드와 상기 덮개 사이에 위치되는 반응 챔버.
  13. 제 12 항에 있어서, 상기 제 2 절연판은 열전도성 재료로 형성되는 반응 챔버.
  14. 제 12 항에 있어서, 상기 제 2 절연판은 열절연성 재료로 형성되는 반응 챔버.
  15. 제 12 항에 있어서, 상기 제 2 절연판은 상기 샤워헤드의 일면에 인접하는 기체 분산 공간을 형성하며, 상기 기체 분산 공간은, 처리 기체가 상기 샤워헤드를 통해 분산되기 전에, 상기 반응 챔버에 공급된 처리 기체를 축적하도록 작용하는 반응 챔버.
  16. 제 12 항에 있어서, 상기 제 2 절연판은 중심부로부터 아래로 연장되는 주위 플랜지부를 포함하며, 상기 주위 플랜지부는 상기 계단부에 의해 지지되는 반응 챔버.
  17. 제 12 항에 있어서, 상기 제 2 절연판은 처리 기체를 상기 샤워헤드에 공급하여 상기 처리 공간 내로 분산시키기 위해 상기 제 2 절연판 내부에 제공되는 기체 공급 통로를 포함하는 반응 챔버.
  18. 제 2 항에 있어서, 상기 샤워헤드는 제 2 기체 분산 공간을 포함하며, 상기 제 2 기체 분산 공간은 상이한 처리 기체들이 분산되기 전에는 혼합되지 않은 채로 상기 샤워헤드를 통해 분산될 수 있도록 상기 제 1 기체 분산 공간으로부터 격리되는 반응 챔버.
  19. 처리 기체를 사용하여 기판 상에 재료층을 화학 증착시키는 반응 챔버에 있어서,
    기판을 포함하는 처리 공간을 규정하는 내벽을 가지는 챔버 본체와,
    상기 처리 공간을 효과적으로 밀폐시키기 위해 상기 챔버 본체와 결합되도록 형성된 덮개와,
    상기 처리 기체를 상기 처리 공간 내로 분산시키기 위해 상기 챔버 본체 내부에 위치되는 평면 샤워헤드와,
    상기 샤워헤드를 상기 챔버 본체로부터 전기적으로 절연시키기 위해, 상기 샤워헤드와 상기 챔버 본체 사이에서 상기 샤워헤드의 일면 상에 위치되는 제 1 절연판과,
    상기 샤워헤드를 상기 챔버 본체 및 덮개로부터 전기적으로 절연시키기 위해, 상기 샤워헤드와 상기 챔버 본체 사이 및 상기 샤워헤드와 상기 덮개 사이에서 상기 샤워헤드의 다른 면 상에 위치되는 제 2 절연판과,
    상기 챔버 본체의 상기 내벽에 제공되는 계단부를 포함하고,
    상기 평면 샤워헤드와 상기 제 2 절연판 및 제 1 절연판은 적층 구조체로 배치되고, 상기 적층 구조체는 상기 계단부 상에 위치되며 상기 처리 기체를 상기 처리 공간내의 기판에 도입시키기 위해 상기 적층 구조체의 주변 에지에서 상기 처리 공간에 인접하여 지지되고,
    이로 인해 기체 공급 시스템이 화학 증착을 위해 제공되는 반응 챔버.
  20. 제 19 항에 있어서, 상기 적층 구조체는 제 1 기체 분산 공간을 상기 샤워헤드의 일면에 인접하게 규정하며, 상기 기체 분산 공간은, 상기 처리 기체가 상기 샤워헤드를 통해 분산되기 전에, 상기 반응 챔버에 공급된 상기 처리 기체를 축적하도록 작용하는 반응 챔버.
  21. 제 19 항에 있어서, 상기 제 1 절연판은 내부에 중심 개구를 가지는 링 형태로 이루어지고, 상기 중심 개구는 상기 처리 기체를 상기 처리 공간 내로 도입시키기 위해 상기 샤워헤드내의 기체 분산 개구와 정렬되는 반응 챔버.
  22. 제 19 항에 있어서, 상기 제 1 절연판은 상기 샤워헤드를 전기적으로 바이어스시키도록 상기 제 1 절연판을 통해 상기 샤워헤드에 전기 에너지원을 연결시키기 위해 상기 제 1 절연판을 관통하여 형성된 통로를 포함하는 반응 챔버.
  23. 제 19 항에 있어서, 상기 제 1 절연판은 열전도성 재료로 형성되는 반응 챔버.
  24. 제 19 항에 있어서, 상기 제 1 절연판은 열절연성 재료로 형성되는 반응 챔버.
  25. 제 19 항에 있어서, 상기 제 2 절연판은 열전도성 재료로 형성되는 반응 챔버.
  26. 제 19 항에 있어서, 상기 제 2 절연판은 열절연성 재료로 형성되는 반응 챔버.
  27. 제 19 항에 있어서, 상기 제 2 절연판은 처리 기체를 상기 샤워헤드에 공급하여 상기 처리 공간 내로 분산시키기 위해 상기 제 2 절연판 내부에 제공되는 기체 공급 통로를 포함하는 반응 챔버.
  28. 제 20 항에 있어서, 상기 샤워헤드는 제 2 기체 분산 공간을 포함하며, 상기 제 2 기체 분산 공간은 상이한 처리 기체들이 분산되기 전에는 혼합되지 않은 채로 상기 샤워헤드를 통해 분산될 수 있도록 상기 제 1 기체 분산 공간으로부터 격리되는 반응 챔버.
  29. 처리 기체를 사용하여 기판 상에 재료층를 도포하는 화학 증착법에 있어서,
    기판을 포함하는 처리 공간을 규정하는 내벽을 가지는 반응 챔버를 제공하는 단계와,
    상기 기판을 상기 처리 공간내에 위치시키는 단계와,
    상기 처리 공간에 인접하도록 상기 챔버의 내벽에 계단부를 제공하는 단계와,
    상기 처리 기체를 상기 처리 공간 내로 분산시키기 위해 평면 샤워헤드를 상기 챔버 내부에 위치시키는 단계와,
    상기 처리 기체를 상기 처리 공간내의 기판에 도입시키기 위해 상기 샤워헤드가 그 주변 에지에서 상기 처리 공간에 인접하여 지지되도록 상기 샤워헤드를 상기 계단부상에 적층하는 단계를 포함하는 화학 증착법.
  30. 제 29 항에 있어서, 상기 샤워헤드 아래로 상기 챔버 계단부 상에 제 1 절연판을 적층하는 단계를 부가로 포함하고,
    상기 제 1 절연판은 상기 샤워헤드와 상기 챔버 사이에 위치되어 상기 샤워헤드를 상기 챔버로부터 전기적으로 절연시키는 화학 증착법.
  31. 제 30 항에 있어서, 상기 제 1 절연판은 내부에 중심 개구를 가지는 링 형태로 이루어지며,
    상기 기체를 상기 처리 공간 내로 도입시키기 위해, 상기 중심 개구가 상기 샤워헤드내의 기체 분산 개구와 정렬되도록, 상기 링을 상기 샤워헤드와 동심으로 위치시키는 단계를 부가로 포함하는 화학 증착법.
  32. 제 29 항에 있어서, 상기 샤워헤드 위로 상기 챔버 계단부 상에 제 2 절연판을 적층하는 단계를 부가로 포함하고,
    상기 제 2 절연판은 상기 샤워헤드와 상기 챔버 사이에 위치되어 상기 샤워헤드를 상기 챔버로부터 전기적으로 절연시키는 화학 증착법.
  33. 제 29 항에 있어서, 상기 제 2 절연판은 중심부로부터 아래로 연장되는 주위 플랜지부를 포함하며, 상기 주위 플래지부는 상기 계단부에 의해 지지되고,
    상기 샤워헤드를 완전히 둘러싸서 상기 샤워헤드를 상기 챔버로부터 절연시키기 위해 상기 제 2 절연판의 내측에 상기 제 1 절연판을 위치시키는 단계를 부가로 포함하는 화학 증착법.
KR10-1999-7011560A 1998-04-09 1999-04-08 반응 챔버에 기체 및 고주파 전력을 공급하는 적층 샤워헤드 어셈블리 KR100390592B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/057,818 US5997649A (en) 1998-04-09 1998-04-09 Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US09/057,818 1998-04-09

Publications (2)

Publication Number Publication Date
KR20010013553A KR20010013553A (ko) 2001-02-26
KR100390592B1 true KR100390592B1 (ko) 2003-07-07

Family

ID=22012939

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7011560A KR100390592B1 (ko) 1998-04-09 1999-04-08 반응 챔버에 기체 및 고주파 전력을 공급하는 적층 샤워헤드 어셈블리

Country Status (7)

Country Link
US (1) US5997649A (ko)
JP (2) JP3597871B2 (ko)
KR (1) KR100390592B1 (ko)
DE (1) DE19980683C2 (ko)
GB (1) GB2339577B (ko)
TW (1) TW575678B (ko)
WO (1) WO1999053116A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101502744B1 (ko) 2007-02-27 2015-03-16 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스 챔버 후면 판 보강

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6547922B2 (en) * 2000-01-31 2003-04-15 Canon Kabushiki Kaisha Vacuum-processing apparatus using a movable cooling plate during processing
US6712929B1 (en) 2000-08-08 2004-03-30 Lam Research Corporation Deformation reduction at the main chamber
US6905079B2 (en) * 2000-09-08 2005-06-14 Tokyo Electron Limited Shower head structure and cleaning method thereof
US6370796B1 (en) * 2000-09-29 2002-04-16 Sony Corporation Heater block cooling system for wafer processing apparatus
JP3607664B2 (ja) * 2000-12-12 2005-01-05 日本碍子株式会社 Iii−v族窒化物膜の製造装置
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
JP4782761B2 (ja) * 2001-02-09 2011-09-28 東京エレクトロン株式会社 成膜装置
KR101004199B1 (ko) 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
KR100429296B1 (ko) * 2002-09-09 2004-04-29 한국전자통신연구원 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법
JP3877157B2 (ja) * 2002-09-24 2007-02-07 東京エレクトロン株式会社 基板処理装置
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
WO2005045913A1 (ja) * 2003-11-05 2005-05-19 Tokyo Electron Limited プラズマ処理装置
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7220943B2 (en) * 2004-03-26 2007-05-22 Aviza Technology Limted RF stand offs
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
JP5066336B2 (ja) * 2005-12-14 2012-11-07 東京エレクトロン株式会社 高圧処理装置及び高圧処理方法
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US8034213B2 (en) * 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5069427B2 (ja) * 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
EP2123801A4 (en) * 2006-09-08 2011-01-19 Tokuyama Corp METHOD AND DEVICE FOR PREPARING GROUP III NITRIDE
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
WO2008156031A1 (ja) * 2007-06-19 2008-12-24 Tokyo Electron Limited 真空処理装置
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US20090178763A1 (en) 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US9155134B2 (en) 2008-10-17 2015-10-06 Applied Materials, Inc. Methods and apparatus for rapidly responsive heat control in plasma processing devices
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP5828836B2 (ja) * 2009-05-13 2015-12-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 陽極処理されたシャワーヘッド
CN101908579B (zh) * 2009-06-05 2012-01-11 亚洲太阳科技有限公司 用于薄膜太阳能电池制造的智能式冷却系统
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
KR200457817Y1 (ko) * 2009-12-28 2012-01-05 주식회사 케이씨텍 원자층 증착장치의 샤워헤드 유닛
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102012205616B4 (de) * 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
US9364871B2 (en) * 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR20150046966A (ko) * 2013-10-23 2015-05-04 삼성디스플레이 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
CN104916517B (zh) * 2014-03-11 2017-06-09 上海华虹宏力半导体制造有限公司 反应腔与石英管的隔离装置及其控制方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371828A1 (en) * 2014-06-24 2015-12-24 Applied Materials, Inc. Low cost wide process range microwave remote plasma source with multiple emitters
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US20160096193A1 (en) * 2014-10-03 2016-04-07 Applied Materials, Inc. Parylene deposition process
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104498905B (zh) * 2015-01-05 2017-03-01 中国科学院半导体研究所 用于金属有机化合物化学气相沉积设备反应室的进气顶盘
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20180094109A (ko) * 2016-01-07 2018-08-22 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020040915A1 (en) * 2018-08-22 2020-02-27 Applied Materials, Inc. High density plasma enhanced chemical vapor deposition chamber
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021021403A1 (en) * 2019-07-26 2021-02-04 Applied Materials, Inc. Evaporator chamber for forming films on substrates
KR102652014B1 (ko) * 2020-05-12 2024-03-28 세메스 주식회사 기판 처리 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0270066A (ja) * 1988-09-05 1990-03-08 Hitachi Electron Eng Co Ltd プラズマcvd装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3369989A (en) * 1964-07-22 1968-02-20 Ibm Cathode sputtering apparatus including precision temperature control of substrate
JPS6098629A (ja) * 1983-11-02 1985-06-01 Hitachi Ltd プラズマ処理装置
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
JPS63187619A (ja) * 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JPH02234419A (ja) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3400027B2 (ja) * 1993-07-13 2003-04-28 ティーディーケイ株式会社 鉄系軟磁性焼結体の製造方法およびその方法により得られた鉄系軟磁性焼結体
US5685937A (en) * 1993-09-22 1997-11-11 Batesville Casket Company, Inc. Method for constructing a casket
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
WO1995034092A1 (en) * 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0270066A (ja) * 1988-09-05 1990-03-08 Hitachi Electron Eng Co Ltd プラズマcvd装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101502744B1 (ko) 2007-02-27 2015-03-16 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스 챔버 후면 판 보강

Also Published As

Publication number Publication date
KR20010013553A (ko) 2001-02-26
DE19980683C2 (de) 2003-03-27
JP2004285479A (ja) 2004-10-14
TW575678B (en) 2004-02-11
JP2001508836A (ja) 2001-07-03
GB9927809D0 (en) 2000-01-26
US5997649A (en) 1999-12-07
WO1999053116A1 (en) 1999-10-21
GB2339577B (en) 2002-12-18
JP3597871B2 (ja) 2004-12-08
GB2339577A (en) 2000-02-02
DE19980683T1 (de) 2000-05-11

Similar Documents

Publication Publication Date Title
KR100390592B1 (ko) 반응 챔버에 기체 및 고주파 전력을 공급하는 적층 샤워헤드 어셈블리
KR100898195B1 (ko) 캐소드 라이너 및 이를 구비하는 처리 챔버
US6140215A (en) Method and apparatus for low temperature deposition of CVD and PECVD films
KR100922241B1 (ko) 성막 장치 및 샤워헤드 구조체
US8123860B2 (en) Apparatus for cyclical depositing of thin films
KR100791419B1 (ko) 축소된 접촉 면적을 가진 샤워헤드
US8444926B2 (en) Processing chamber with heated chamber liner
EP1074041B1 (en) A high temperature multi-layered alloy heater assembly
KR100770461B1 (ko) 가스 처리 장치 및 성막 장치
JP2004534905A (ja) チタン化学気相堆積用リアクタ
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
KR20010113558A (ko) 세라믹 기판 지지체
JPH10144614A (ja) Cvdプラズマリアクタにおける面板サーマルチョーク
US5626678A (en) Non-conductive alignment member for uniform plasma processing of substrates
US20150024582A1 (en) Method of making a gas distribution member for a plasma processing chamber
EP0855452B1 (en) Process and apparatus for depositing titanium layers
TWI223328B (en) Apparatus for depositing thin film on wafer
WO2021257225A1 (en) High temperature face plate for deposition application
KR20020000517A (ko) 반도체 처리챔버용 리드 조립체
KR20040063587A (ko) 반도체 제조 설비

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120611

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee