KR100791419B1 - 축소된 접촉 면적을 가진 샤워헤드 - Google Patents

축소된 접촉 면적을 가진 샤워헤드 Download PDF

Info

Publication number
KR100791419B1
KR100791419B1 KR1020010035737A KR20010035737A KR100791419B1 KR 100791419 B1 KR100791419 B1 KR 100791419B1 KR 1020010035737 A KR1020010035737 A KR 1020010035737A KR 20010035737 A KR20010035737 A KR 20010035737A KR 100791419 B1 KR100791419 B1 KR 100791419B1
Authority
KR
South Korea
Prior art keywords
showerhead
mounting portion
ring
shower head
disposed
Prior art date
Application number
KR1020010035737A
Other languages
English (en)
Other versions
KR20020000516A (ko
Inventor
칼에이. 리타우
베반 보
살바도르피. 우모토이
손엔. 트린
치엔-테 카오
켄카웅 라이
보 젱
핑 지안
시킹 루
앤종 창
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20020000516A publication Critical patent/KR20020000516A/ko
Application granted granted Critical
Publication of KR100791419B1 publication Critical patent/KR100791419B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Nozzles (AREA)

Abstract

반도체 공정 챔버 내의 가스 분배용 샤워헤드가 기재되어 있다. 일 실시예에서, 천공이 형성된 중심부, 천공이 형성된 중심부를 둘러싸고 있는 장착부와 관통되어 배치된 구멍을 가진 각각의 장착부로부터 연장된 복수의 돌기부를 포함하는 샤워헤드가 제공된다. 본 발명의 다른 실시예는 천공이 형성된 중심부를 둘러싸는 제 1 측부를 가진 장착부를 포함하는 샤워헤드를 제공한다. 링은 상기 장착부의 제 1 측부로부터 연장된다. 복수의 설치 구멍들은 상기 링의 어느 한 측부에 반경 방향으로 장착부에 배치된다. 샤워헤드는 샤워헤드와 챔버 리드 사이에 제어된 열 전달을 제공하여 샤워헤드 상에 적은 증착을 일으킨다.

Description

축소된 접촉 면적을 가진 샤워헤드{SHOWERHEAD WITH REDUCED CONTACT AREA}
도 1은 샤워헤드의 일 실시예를 도시하는 프로세싱 챔버의 개략적 부분도,
도 2는 도 1의 단면 선 2--2를 따라 취해진 샤워헤드의 횡단면도,
도 3은 도 2의 단면 선 3--3을 따라 취해진 샤워헤드의 횡단면도이다
* 도면의 주요 부분에 대한 부호의 설명 *
102 챔버 118 샤워헤드
138 지지 조립체 140 기판
202 장착부 206 제 1 측부
210 보스 212 링
214 천공이 형성된 중심부 302 제 2 측부
324 평탄한 표면
본 출원은 이와 동시에 출원된 2000년 6월 22일자 미국 특허 출원 번호 제 09/602,657호와 관련되며, 본원에서는 이를 전체로서 참조하고 있다.
본 발명은 공정 챔버 내의 가스 분배용 장치에 관한 것이다.
집적 회로는 한 개의 칩상에 수 백만개의 트랜지스터, 커패시터 및 저항기를 포함할 수 있는 복잡한 소자로 점차 발전되어 왔다. 더욱 정밀한 제조 공정을 요구하는 보다 빠른 회로(circuitry)와 보다 큰 회로 집적도(circuit density)가 칩 설계의 발전으로 끊임없이 요구된다. 화학 기상 증착(chemical vapor deposition :CVD)은 자주 사용되는 제조 공정 중 하나이다.
일반적으로, 화학 기상 증착은 기판 또는 반도체 웨이퍼 상에 박막을 증착하는데 사용된다. 일반적으로, 화학 기상 증착은 선구체 가스(precusor gas)를 진공 챔버에 도입함으로써 이루어진다. 통상적으로 선구체 가스는 챔버의 최상부 근처에 배치된 샤워헤드를 통하여 안내된다. 선구체 가스는, 가열된 기판 지지대 상에 배치된 기판의 표면상에서 재료의 층을 형성하도록 반응한다. 반응 중에 생성된 휘발성 부산물이 배출 시스템을 통하여 챔버로부터 펌핑된다.
텅스텐은 화학 기상 증착 공정을 이용하여 기판에 자주 형성되는 재료 중 하나이다. 일반적으로, 텅스텐을 형성하는데 이용할 수 있는 선구체 가스로는 텅스텐 헥사플루오라이드(tungsten hexafluoride:WF6) 및 실란(silane)을 포함한다. 실란과 텅스텐 헥사플루오라이드가 혼합하기 때문에, 일부 "부유" 텅스텐(즉, 상기 기판상에 증착되지 않는 텅스텐)이 샤워헤드와 다른 챔버 부품 상에 응축되어 증착된다. 일반적으로, 텅스텐은 챔버의 보다 냉각된 영역에서 보다 빠르게 응축된다. 이는 특히 샤워헤드에서 문제가 된다.
일반적으로, 샤워헤드는 기판 지지대와 챔버 리드 사이에 배치된다. 프로세싱 중에, 기판 지지대는 약 300 내지 약 550 ℃ 범위의 온도로 가열될 수 있다. 통상적으로, 리드는 리드를 약 45 ℃로 유지시키도록 수냉된다. 일반적으로, 샤워헤드는 기판 지지대에 의하여 가열되는 반면 상기 리드에 의하여 냉각된다. 샤워헤드가 리드와 물리적으로 접촉하기 때문에, 샤워헤드로부터 열을 전도시키는 상기 리드의 냉각 효과는, 샤워헤드를 상기 리드 온도에 가까운 온도로 유지시킨다. 따라서, 샤워헤드의 낮은 온도는 샤워헤드 상에 불필요한 텅스텐이 증착되는 것을 촉진한다. 이러한 샤워헤드 상에 형성된 텅스텐은 잠재적인 미립자 오염원으로, 일반적으로 플루오르계(fluorine-based) 세정 공정을 이용하여 에칭함으로써 정기적으로 세정되어야 한다. 결국, 텅스텐 집결이 샤워헤드의 구멍을 막아서, 선구체 가스가 샤워헤드 구멍을 통과하는 것을 막을 수도 있다. 이러한 상황에서는, 샤워헤드를 제거하여 세정하거나 교체하여야 한다.
그러므로, 화학 기상 증착 공정에서 샤워헤드에 대하여 그 내부에의 증착 형성을 최소화할 필요가 있다.
삭제
일반적으로, 본 발명의 한 양상은 반도체 공정 챔버 내에 가스 분배용 샤워헤드를 제공하는 것이다. 일 실시예에서, 천공이 형성된 중심부, 천공이 형성된 중심부를 둘러싸는 제 1 측부를 가진 장착부, 및 상기 장착부로부터 연장되며 관통되어 배치된 구멍을 가지는 복수의 보스(boss)들을 포함하는 샤워헤드가 제공된다. 본 발명의 다른 실시예는 천공이 형성된 중심부를 둘러싸는 제 1 측부를 가지는 장착부를 포함하는 샤워헤드를 제공한다. 링이 상기 장착부의 제 1 측부로부터 연장된다. 복수의 설치 구멍들은 링의 어느 한 쪽에 방사상으로 장착부에 배치되어 있다.
본 발명은 일반적으로 텅스텐 필름의 증착에 용이한, 처리 시스템 및 샤워헤드를 제공한다. 본 발명은 캘리포니아 산타 클라라에 소재한 어플라이드 머티어리얼즈사(Applied Materials, Inc)로부터 입수할 수 있는, 등록상표 WxZ 금속 화학 기상 증착(WxZTM metal chemical vapor deposition : MCVD)과 같은 화학 기상 증착 시스템에 대하여 기술하고 있다. 그러나, 본 발명은 다른 필름을 증착시킬 때도 유용하며, 샤워헤드를 통하여 챔버 내측으로 가스를 분배하는 다른 시스템 구조에도 바람직하다는 것을 이해할 수 있다.
본 발명의 사상은 첨부된 도면과 함께 다음의 상세한 설명을 고려하여 용이하게 이해될 수 있다:
이해를 돕기 위하여, 가능한한 도면에서 공통된 동일한 요소를 지칭하는데 동일한 도면 번호를 사용하였다.
도 1은 화학 기상 증착 시스템(100)의 일 실시예의 횡단면도이다. 일반적으로 시스템은 가스 소오스(104)에 결합되는 챔버(102)를 포함한다. 챔버(102)는 공정 구역(112)을 형성하는 벽(106), 바닥(108) 및 리드(110)를 구비하고 있다. 통상적으로 벽(106) 및 바닥(108)은 알루미늄 단일 블록으로 제조된다. 벽(106)은 도관(도시 안됨)을 포함할 수 있으며, 상기 도관을 통하여 유체가 통과되어 벽(106)의 온도를 제어할 수 있다. 챔버(100)는 공정 구역(112)을 배기 포트(116) 및 도시 되지 않은 다른 다양한 펌핑 요소에 결합하는 펌핑 링(114)을 포함한다.
가열되는 지지 조립체(138)는 챔버(102) 내 중앙에 배치된다. 프로세싱 중에, 지지 조립체(138)는 기판(140)을 지지한다. 일반적으로 지지 조립체(138)는 알루미늄, 세라믹 또는 알루미늄과 세라믹 조합물로 제조되며, 통상적으로 진공 포트(도시 안됨)와 하나 이상의 삽입형 가열 요소(132)를 포함한다. 진공 포트는 기판(140)과 기판 지지대(138) 사이에 진공을 적용하는데 이용하여, 프로세싱 중에 기판을 기판 지지대에 고정시킨다. 기판 지지대(138)에 배치된 전극과 같은 가열 요소(132)는 전원(130)에 연결되어, 기판 지지대(138)와 그 위에 배치된 기판(140)을 미리설정된 온도까지 가열한다. 상기 공정 구역(112)은 통상적으로 벽(106) 내의 포트(도시되지 않음)를 통하여 접근 가능하며, 상기 포트는 챔버(102) 내측 및 외측으로의 기판(140)의 이동을 용이하게 한다.
일반적으로, 지지 조립체(138)는 스템(142)에 연결된다. 스템(142)은 지지 조립체(138)와 시스템(100)의 다른 부품 사이에서 전기 도선(electrical leads), 진공 및 가스 공급 라인용 도관을 제공한다. 스템(142)은 상승 위치(도시된 바와 같이) 및 하강 위치 사이에서 지지 조립체(138)를 이동시키는 승강 시스템(144)에 지지 조립체(138)를 연결한다. 벨로우즈(146)는 챔버 구역(112)과 챔버(102)의 외부 대기 사이에 진공 밀봉(vacuum seal)을 제공하며, 동시에 지지 조립체(138)의 운동을 용이하게 한다. 간명하게 하기 위하여, 리프트 핀과 연계된 기계 장치들은 생략되었다.
부가적으로 지지 조립체(138)는 둘러싸는 새도우 링(shadow ring, 148)을 지지한다. 새도우 링(148)은 환형이며, 통상적으로 알루미늄 질화물(aluminum nitride)과 같은 세라믹으로 구성된다. 일반적으로 새도우 링(148)은 기판(140)의 가장자리와 기판 조립체(138)에서의 증착을 방지하여, 기판이 지지 조립체(138)에 고착되지 않게 한다. 선택적으로, 퍼지 가스(purge gas)를 새도우 링(148)과 지지 조립체(138) 사이에 공급하여, 기판의 가장자리에서의 증착을 방지하는 것을 돕는다. 이러한 지지 조립체(138)의 예는 본원에서 전체로서 참조하고 있는, 2000년 6월 19일에 출원된 미국 특허 출원 번호 제 09/596,854호에 기술되어 있다.
리드(110)는 벽(106)에 의하여 지지되며, 챔버(102)를 정비하기 위하여 제거될 수 있다. 리드(110)는 일반적으로 알루미늄으로 구성되며, 부가적으로 내부에 형성된 열 전달 유체 채널(124)을 포함할 수 있다. 채널(124)은 리드(110)를 통하여 열 전달 유체를 유동시키는 유체 소오스(도시 안됨)와 결합되어 있다. 채널(124)을 통한 유체 유동으로 리드(110)의 온도는 통상적으로 약 120℃ 내지 145℃ 범위로 조절된다.
혼합 블록(134)은 리드(110)에 배치된다. 혼합 블록(134)은 가스 소오스(104)에 연결된다. 일반적으로, 가스 소오스(104)로부터의 개별적인 가스 흐름은 혼합 블록(134)에 결합된다. 이러한 가스들은 혼합 블록(134) 내의 단일 동질성의 가스 유동으로 혼합되며, 챔버 벽(106)을 향하여 바깥쪽으로 가스 유동을 분산시키는 샤워헤드(118)를 통과한 후, 공정 구역(112)에 도입된다.
일반적으로, 샤워헤드(118)는 상기 리드(110)의 내측부(interior side, 120)에 연결되고, 그 사이에 플리넘(plenum, 122)을 형성한다. 선택적으로, 천공이 형성된 블로커 플레이트(blocker plate; 136)가 샤워헤드(118)와 리드(110) 사이의 플리넘(122) 내에 배치될 수도 있다. 혼합 블록(134)을 통하여 챔버(102)로 도입되는 가스(즉, 공정 가스 및 다른 가스)들은 가스들이 샤워헤드(118) 뒤에 있는 플리넘(122)을 채울 때 먼저 블로커 플레이트(136)에 의하여 분산된다. 이러한 가스들은 샤워헤드(118)를 통과하여, 챔버(102) 내로 유입된다. 플리넘(122), 블로커 플레이트(136) 및 샤워헤드(118)는 샤워헤드(118)을 통하여 챔버(102) 내측으로 통과하는 가스의 균일 유동을 제공하도록 구성된다. 이러한 가스 유동의 균일성은 기판(140)상에 균일한 증착을 촉진하는데 바람직하다.
도 2는 샤워헤드(118) 일 실시예의 횡단면도이다. 통상적으로 샤워헤드(118)는 알루미늄으로 제조된다. 대안적으로는, 샤워헤드(118)가 부식성 환경의 분야에서 이용하기 위해 세라믹으로 제조될 수 있다. 일반적으로 샤워헤드(118)는 중심부(204)를 둘러싸는 장착부(202)를 포함한다. 중심부(204)는 유체(예를 들어, 가스)가 샤워헤드(118)를 통과하는 것을 허용하는 천공이 형성된 부분(214)을 가지고 있다. 장착부(202)는 제 1 측부(206)로부터 연장되는 링(212) 및 복수의 보스(210)를 포함한다. 일반적으로, 장착부는 두 개 이상의 보스(210)를 포함한다. 반경 방향으로 보스(210)의 안쪽에 배치된 것으로 도시된 링(212)은 상기 보스(210)의 어느 쪽에도 배치될 수 있다. 대안적으로, 보스(210)가 링(212)의 양 쪽에 모두 배치될 수도 있다.
도 3은 샤워헤드(118) 일부분의 횡단면도이다. 장착부(202)는 일반적으로 제 1 측부(206)에 대향하는 제 2 측부(302)를 포함한다. 제 2 측부(302)는 샤워헤드(118)의 주변에 배치된 플랜지(304)를 가지고 있다. 통상적으로, 플랜지(304)는 제 2 측부(302)의 평면으로부터 우묵하게 들어간다. 대안적으로, 플랜지(304)는 제 2 측부(302)와 동일한 평면이거나 제 2 측부(302)의 위로 연장될 수 있다. 플랜지(304)는 이를 관통하는 복수의 설치 구멍(306)을 포함한다. 각각의 구멍(306)은 플랜지(304)를 관통하고, 샤워헤드(118)의 제 1 측부(206)에 배치된 보스(210)들 중 하나를 각각 관통한다. 각각의 구멍(306)은 플랜지(304)에 배치된 카운터 보어(308)를 포함한다. 개폐식 설치 나사(310)는 설치 구멍(306)을 통과하고, 리드(110) 내의 결합 나사산이 형성된 구멍(312)에 나사 결합된다. 카운터 보어(308)는 볼트의 헤드(314)가 플랜지(304)와 같은 높이를 가지거나, 플랜지(304)로부터 약간 들어가서 배치되도록 볼트(310)의 헤드(314)를 수용한다.
보스(210) 및 링(212)은 샤워헤드(118)의 제 1 측부(206)로부터 높이 "H"까지 연장되는데, 이 높이(H)는 장착부(202)의 제 1 측부(206)를 리드(110)로부터 기미리결정된 거리(즉, 갭(322)을 형성함)로 유지한다. 보스(210)는 벽 두께(Wb)를 갖는다. 보스의 벽 두께(Wb)는 보스(210)의 단면적을 최소화하도록 구성된다. 일 실시예에서, 샤워헤드(118)는, 두께가 약 0.060 inches이고, 높이가 0.250 inches인 벽을 구비하며 장착부(202)의 주변에서 이격되어 배치되는 8개의 보스(212)를 포함한다.
통상적으로, 보스(210)는 지름(Db)을 가진 볼트 서클 상에 배치된다. 지름 (Db)은 설치 구멍(306)을 반경 방향으로 종래 웨이퍼 프로세싱 시스템에서 이용되는 샤워헤드에서 발견된 설치 구멍보다 외측에 위치시킨다. 이러한 설치 구멍(306)의 외측 배치는 천공이 형성된 부분(214)과 동일 평면으로 바로 외측에 평탄한 표면(324)을 제공함으로써, 천공이 형성된 부분(214)을 통하여 지나가는 가스의 난류를 최소화한다. 일 실시예에서, 상기 표면(324)은 200 ㎜인 기판을 적용하는 경우에 대하여 약 0.25 inches보다 크며, 바람직하게는 약 1.25 inches의 너비(W)를 갖는다. 300 ㎜의 기판을 적용하는 경우에는, 그 너비(W)가 약 0.45 inches보다 커야한다. 일반적으로, 천공이 형성된 면적에 근접한 설치 구멍을 이용하는 종래 기술의 시스템은, 샤워헤드를 통하여 유동하는 가스의 난류를 최소화하는 상기 표면(324)에 의하여 제공되는 너비(W)에 비교될만한 너비를 가지지 못한다.(즉, 설치 볼트의 헤드는 인접한 표면을 차단하고, 천공이 형성된 부분의 외곽 영역 근처에서의 가스 유동을 교란한다.)
통상적으로 링(212)은 반경 방향으로 보스(210)의 안쪽에 배치된다. 링(212)은 혼합 블록(134) 및 브로커 플레이트(136)로부터 나온 공정 가스 및 기타 다른 가스를, 샤워헤드(118)의 천공이 형성된 부분(214)을 통하여 플리넘(122) 및 챔버(102)로 유동시킨다.
링(212)은 지름(DR)을 가지고 있다. 상기 지름(DR)은 일반적으로 샤워헤드(118)의 천공이 형성된 부분(214)의 지름(DP)보다 큰 지름을 선택한다. 상기 링(212)은 벽 두께(WR)를 가지고 있다. 상기 링(212)은 일반적으로 보스(210)와 동일한 높이(H)를 가지고 있다. 그러나, 링(212)의 높이는 장착부(202) 또는 리드(110) 중 어느 하나의 표면 기하학적 구조에서의 변화를 수용하도록 변화될 수 있다. 높이(H), 벽 두께(WR) 및 지름(DR)은 통상적으로 링(212)의 단면적을 최소화하도록 구성된다.
일반적으로, 링(212)은 0보다 큰, 바람직하게는, 약 0.65 보다 큰 종횡비(즉, 벽 두께 WR에 대한 높이 H의 비)를 갖는다. 보다 큰 종횡비는 링(212)과 리드(110) 사이의 열 전달을 감소시킨다. 예를 들어, 일 실시예에서, 약 0.25 inches의 높이와 약 0.06 inches의 벽 두께, 및 4.16의 종횡비를 갖는 링(212)이 있다.
천공이 형성된 부분(214)은 샤워헤드(118)를 관통하는 복수의 구멍(316)으로 구성된다. 일반적으로, 구멍(316)들은 기판(140)의 지름보다 큰 지름(DP) 범위 내에 원형 배열(polar array)로 배치된다. 대안적으로, 구멍(316)들은 다른 패턴으로 배치되거나, 천공이 형성된 부분(214) 전체에 걸쳐서 임의로 배치될 수 있다. 선택적으로, 상기 구멍(316)들은 지름이 좁은 부분(318) 및 동심의 지름이 보다 넓은 부분(320)을 포함한다. 지름이 좁은 부분(318)은 통상적으로 샤워헤드(118)의 제 2 측부(302)로 개방되어 있는 반면, 지름이 보다 넓은 부분(320)은 통상적으로 제 1 측부(206)로 개방되어 있다. 지름이 보다 넓은 부분(320)은 지름이 좁은 부분(318)을 드릴링하는 동안 공구가 부러지게 되는 가능성을 최소화함으로써 샤워헤드(118)의 제조를 용이하게 하는데 사용된다. 구멍(316)의 개수와 구멍의 지름은 통상적으로, 샤워헤드(118)를 관통하는 균일한 가스 유동을 제공하도록 선택된다. 일 실시예에서, 천공이 형성된 부분(214)은 약 6200개의 구멍(316)들을 포함한다.
다양한 구조 및 구성은 샤워헤드(118)와 리드(110) 사이의 온도 제어를 가능하게 하는데, 예를 들어, 샤워헤드(118)와 리드(110)의 이격된 관계로 형성되는 갭(322)이 있다. 갭(322)의 거리는 보스(210)와 링(212)의 높이(H)에 의하여 조정될 수 있는데, 이 갭(322)은 고체 대 고체(즉, 금속 대 금속) 접촉을 방지하여 그들 사이의 열전도를 최소화한다. 예를 들어, 샤워헤드(118)와 리드(110) 사이의 열 전달율은 높이(H)를 증가시킴으로써 감소될 수 있어서 갭(322)을 가로지르는 열 전달율이 감소된다. 일 실시예에서, 높이(H)는 0.25 inches이다.
샤워헤드(118)와 리드(110) 사이의 열 전달을 제어하는 다른 구조물은 링(212)과 보스(210)의 작은 단면적에 의하여 제공된다. (보스(210)와 링(212)에 대한 것이 아니라면, 리드(110)와 접촉하고 있는 장착부(202)의 면적에 비하여)작은 단면적은 샤워헤드(118)와 리드(110) 사이의 전도율을 제한한다. 예를 들어, 샤워헤드(118)와 리드(110) 사이의 열전달율은 보스(210) 또는 링(212)의 단면적을 감소시키거나, 링(212)의 종횡비를 증가시킴으로써 줄일 수 있다. 실례로서, 지름(DR), 벽 두께(WR), 벽 두께(Wb) 또는 이들의 조합이 샤워헤드(118)와 리드(110) 사이의 열전달을 방해하도록 감소될 수 있다. 대안적으로는, 보스(210)의 수를 줄임으로 보스(210)의 유효 전체 단면적을 감소시켜, 각각의 보스(210)의 벽 두께(Wb)를 변화시키지 않고 서로 열전달을 감소시킨다. 선택적으로는, 벽 두께(Wb)와 보스(210)의 개수 모두가 리드(110)와 샤워헤드(118) 사이의 열전달을 방해하도록 감소될 수 있다.
작동시에, 도 1에 도시된 반도체 기판(140)은 반도체 기판과 지지 조립체 사이에 진공을 제공함으로써, 지지 조립체(138)에 고정된다. 일 실시예에서 실란(silane)과 텅스텐 헥사플루오라이드(tungsten hexafluoride)를 포함할 수 있는 가스 요소가 가스 혼합물을 형성하기 위하여, 혼합 블록(134)과 샤워헤드(118)를 통해 가스 패널로부터 공정 챔버에 공급된다. 천공이 형성된 부분(214)에 인접한 평탄한 표면(324) 및 샤워헤드(118) 내의 설치 구멍(306)의 외각 배치는 가스 혼합물이 샤워헤드(118)를 거쳐 통과하는 경우에 챔버(102) 내의 난류를 최소화하여, 기판(14) 상에 균일한 증착을 증진시킨다. 가스 혼합물은 반응하거나 분해시켜, 기판(140) 상에 텅스텐 층을 형성한다. 챔버 벽의 표면 온도는 시스템(100)의 벽(106)과 리드(110)에 배치된 액체-포함 도관을 이용하여 제어된다.
기판(140)의 온도는 주로 지지 조립체(138)의 온도를 안정화시킴으로써 제어된다. 리드(110), 벽(106) 및 지지 조립체(138) 모두를 열 제어함으로써, 기판(140)은 350 내지 550 ℃의 온도로 유지된다.
샤워헤드(118)의 온도는 샤워헤드(118) 및 냉각된 리드(110) 사이의 열전달을 최소화함으로써 상승된 온도에서 유지된다. 샤워헤드(118)로부터 최소화된 열전달은 샤워헤드(118)를 약 150 ℃까지 그리고 150 ℃를 초과하는 온도로 유지시킬 수 있게 한다. 샤워헤드(118)의 상승된 온도는 샤워헤드(118)의 표면에 재료가 보다 적게 증착되게 한다. 더욱이 샤워헤드(118)를 통해 구멍(316)을 막히게 하는 증착 재료의 비율이 감소되어, 샤워헤드 세정 작업 사이의 프로세싱되는 기판 수가 3 내지 4 배까지의 결과를 낳을 정도로까지 감소된다.
삭제
본 원에 상세히 기재되고 도시된 본 발명의 사상은 당업자가 용이하게 본 발명의 내용과 함께 본 발명의 범위와 취지를 벗어나지 않고, 본 발명의 다른 다양한 실시예를 고안할 수 있다.

Claims (24)

  1. 샤워헤드로서:
    천공이 형성된 중심부;
    상기 천공이 형성된 중심부를 둘러싸며, 제 1 측부를 구비한 장착부;
    상기 장착부의 상기 제 1 측부로부터 연장된 복수의 보스; 및
    상기 각각의 보스에 배치된 설치 구멍을 포함하는,
    샤워헤드.
  2. 제 1 항에 있어서,
    상기 장착부가 알루미늄인,
    샤워헤드.
  3. 제 1 항에 있어서,
    상기 장착부의 상기 제 1 측부 상에 배치된 링을 더 포함하는,
    샤워헤드.
  4. 제 1 항에 있어서,
    상기 장착부의 상기 제 1 측부 상에 배치되며, 반경 방향으로 상기 보스의 어느 한 쪽에 위치한 링을 더 포함하는,
    샤워헤드.
  5. 제 1 항에 있어서,
    상기 장착부의 상기 제 1 측부 상에 배치되며, 0.65보다 큰 종횡비를 가진 링을 더 포함하는,
    샤워헤드.
  6. 제 1 항에 있어서,
    상기 보스가 상기 장착부 상에 이격되어 배치된 8개의 보스를 더 포함하는,
    샤워헤드.
  7. 제 1 항에 있어서,
    상기 장착부의 상기 제 1 측부 상에 배치되며, 반경 방향으로 상기 보스의 어느 한 쪽에 배치되고 0.65보다 큰 종횡비를 가진 링을 더 포함하는,
    샤워헤드.
  8. 제 1 항에 있어서,
    상기 천공이 형성된 부분과 동일한 평면 상에 있고, 상기 천공이 형성된 부분을 둘러싸며, 약 0.25 inches보다 더 큰 너비를 가진 표면을 더 포함하는,
    샤워헤드.
  9. 샤워헤드로서:
    천공이 형성된 중심부;
    상기 천공이 형성된 중심부를 둘러싸며 제 1 측부를 가진 장착부;
    상기 장착부의 상기 제 1 측부로부터 연장된 링; 및
    상기 장착부 상에 반경 방향으로 상기 링의 어느 한 쪽에 배치되는 복수의 설치 구멍; 및
    상기 장착부의 상기 제 1 측부로부터 연장된 복수의 보스를 포함하는,
    샤워헤드.
  10. 제 9 항에 있어서,
    상기 각각의 설치 구멍은, 상기 장착부의 상기 제 1 측부상에 배치되며 상기 설치 구멍이 관통하는 보스를 더 포함하는,
    샤워헤드.
  11. 제 9 항에 있어서,
    상기 각각의 설치 구멍이 이격되어 배치된 8개의 설치 구멍을 더 포함하는,
    샤워헤드.
  12. 제 9 항에 있어서,
    상기 장착부가 알루미늄인,
    샤워헤드.
  13. 삭제
  14. 제 9 항에 있어서,
    상기 보스가 반경 방향으로 상기 링의 어느 한 쪽에 배치되는,
    샤워헤드.
  15. 제 9 항에 있어서,
    상기 링이 0.65보다 큰 종횡비를 갖는,
    샤워헤드.
  16. 제 9 항에 있어서,
    상기 천공이 형성된 부분과 동일한 평면 상에 있고, 상기 천공이 형성된 부분을 둘러싸며, 약 0.25 inches보다 더 큰 너비를 갖는 표면을 더 포함하는,
    샤워헤드.
  17. 반도체 공정 챔버로서:
    내부 구역을 형성하는 벽과 리드를 가진 프로세싱 챔버;
    상기 리드에 연결되어 그 사이에 플리넘을 형성하고, 천공이 형성된 중심부와 상기 천공이 형성된 중심부를 둘러싸고 있는 장착부를 가진 샤워헤드;
    상기 리드와 상기 장착부 사이에 배치되고, 상기 리드와 상기 장착부를 이격하여 유지하는 링; 및
    상기 장착부의 제 1 측부로부터 연장된 복수의 보스를 포함하는,
    반도체 공정 챔버.
  18. 제 17 항에 있어서,
    상기 샤워헤드가 알루미늄인,
    반도체 공정 챔버.
  19. 삭제
  20. 제 17 항에 있어서,
    상기 복수의 보스가 상기 장착부에 이격되어 배치되는 8개의 보스를 포함하는,
    반도체 공정 챔버.
  21. 제 17 항에 있어서,
    상기 장착부의 상기 제 1 측부 상에 배치되며, 반경 방향으로 상기 링의 어느 한 쪽에 배치되는 복수의 보스를 더 포함하는,
    반도체 공정 챔버.
  22. 제 17 항에 있어서,
    상기 링이 0.65보다 큰 종횡비를 가진,
    반도체 공정 챔버.
  23. 제 17 항에 있어서,
    상기 천공이 형성된 부분과 동일한 평면 상에 있고, 상기 천공이 형성된 부분을 둘러싸며, 약 0.25 inches보다 더 큰 너비를 가진 표면을 더 포함하는,
    반도체 공정 챔버.
  24. 제 17 항에 있어서,
    상기 링이 상기 장착부의 돌출된 연장부인,
    반도체 공정 챔버.
KR1020010035737A 2000-06-22 2001-06-22 축소된 접촉 면적을 가진 샤워헤드 KR100791419B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/603,117 US6461435B1 (en) 2000-06-22 2000-06-22 Showerhead with reduced contact area
US09/603,117 2000-06-22

Publications (2)

Publication Number Publication Date
KR20020000516A KR20020000516A (ko) 2002-01-05
KR100791419B1 true KR100791419B1 (ko) 2008-01-07

Family

ID=24414162

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010035737A KR100791419B1 (ko) 2000-06-22 2001-06-22 축소된 접촉 면적을 가진 샤워헤드

Country Status (4)

Country Link
US (1) US6461435B1 (ko)
EP (1) EP1167571A3 (ko)
JP (1) JP5270057B2 (ko)
KR (1) KR100791419B1 (ko)

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
KR20030040119A (ko) * 2001-11-14 2003-05-22 아네르바 가부시키가이샤 발열체 cvd 장치 및 이것을 이용한 발열체 cvd 방법
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
TWI306782B (en) * 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
KR101708060B1 (ko) * 2008-03-14 2017-02-17 램 리써치 코포레이션 캠 고정 전극 클램프
US8373092B2 (en) * 2008-04-09 2013-02-12 The Boeing Company Purge and sealant cap for selective laser sintering build frame
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130004681A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Mini blocker plate with standoff spacers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
JP2016039356A (ja) * 2014-08-06 2016-03-22 ピーエスケー・インコーポレーテッド バッフル及びこれを含む基板処理装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6320325B2 (ja) * 2015-03-05 2018-05-09 三菱電機株式会社 半導体製造装置および半導体デバイスの製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
CN110071057A (zh) * 2018-01-24 2019-07-30 应用材料公司 加热的陶瓷面板
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020001775A1 (en) * 2018-06-28 2020-01-02 Applied Materials, Inc. Component for a vacuum chamber, vacuum chamber, and method of manufacturing a degassing hole
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10927461B2 (en) 2018-08-31 2021-02-23 Applied Materials, Inc. Gas diffuser support structure for reduced particle generation
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20200098549A1 (en) * 2018-09-26 2020-03-26 Applied Materials, Inc. Heat conductive spacer for plasma processing chamber
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR20210088723A (ko) 2018-12-03 2021-07-14 어플라이드 머티어리얼스, 인코포레이티드 척킹 및 아크 발생 성능이 개선된 정전 척 설계
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210104696A (ko) 2019-01-15 2021-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 페디스털
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR102278081B1 (ko) * 2019-06-27 2021-07-19 세메스 주식회사 기판 처리 장치 및 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
DE102020103946A1 (de) 2020-02-14 2021-08-19 AIXTRON Ltd. Gaseinlasseinrichtung für einen CVD-Reaktor
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111640641B (zh) * 2020-06-01 2023-11-14 北京北方华创微电子装备有限公司 半导体工艺腔室及半导体工艺设备
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230011938A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Shaped showerhead for edge plasma modulation
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JP3251762B2 (ja) * 1994-03-28 2002-01-28 東京エレクトロン株式会社 接合部の形成方法及び処理装置の部材間の接合方法
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6019848A (en) 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
EP1073777A2 (en) * 1998-04-14 2001-02-07 CVD Systems, Inc. Film deposition system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4387008B2 (ja) * 1999-11-08 2009-12-16 キヤノンアネルバ株式会社 基板処理装置の高周波電極装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber

Also Published As

Publication number Publication date
KR20020000516A (ko) 2002-01-05
JP5270057B2 (ja) 2013-08-21
US6461435B1 (en) 2002-10-08
EP1167571A2 (en) 2002-01-02
JP2002115069A (ja) 2002-04-19
EP1167571A3 (en) 2002-04-10

Similar Documents

Publication Publication Date Title
KR100791419B1 (ko) 축소된 접촉 면적을 가진 샤워헤드
KR100779445B1 (ko) Cvd 장치
US6494955B1 (en) Ceramic substrate support
KR100390592B1 (ko) 반응 챔버에 기체 및 고주파 전력을 공급하는 적층 샤워헤드 어셈블리
US6544340B2 (en) Heater with detachable ceramic top plate
KR100770461B1 (ko) 가스 처리 장치 및 성막 장치
JP4511722B2 (ja) 化学気相堆積用リアクタ
US6289842B1 (en) Plasma enhanced chemical vapor deposition system
US7008484B2 (en) Method and apparatus for deposition of low dielectric constant materials
TWI509675B (zh) 氣體分散設備
US20030019428A1 (en) Chemical vapor deposition chamber
JP2004534905A (ja) チタン化学気相堆積用リアクタ
JPWO2005024928A1 (ja) ガス処理装置および放熱方法
JP2007270232A (ja) 基板処理装置および基板載置台
WO2003060188A1 (en) Showerhead assembly for a processing chamber
JP2007273747A (ja) 基板処理装置および処理ガス吐出機構
JP2002129331A (ja) 成膜装置および処理装置
WO2021257225A1 (en) High temperature face plate for deposition application
EP1167572A2 (en) Lid assembly for a semiconductor processing chamber
JPH0560256B2 (ko)
KR0133439B1 (ko) 가스분산장치(Shower-Head)를 구비한 반도체 제조장치
US20220293453A1 (en) Multi-zone semiconductor substrate supports
JP2002343779A (ja) 熱処理装置
JPH09162129A (ja) 半導体ウエハの処理装置及び半導体ウエハの処理方法並びに半導体素子

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee