TW575678B - Stacked showerhead assembly for delivering gases and RF power to a reaction chamber - Google Patents

Stacked showerhead assembly for delivering gases and RF power to a reaction chamber Download PDF

Info

Publication number
TW575678B
TW575678B TW88105531A TW88105531A TW575678B TW 575678 B TW575678 B TW 575678B TW 88105531 A TW88105531 A TW 88105531A TW 88105531 A TW88105531 A TW 88105531A TW 575678 B TW575678 B TW 575678B
Authority
TW
Taiwan
Prior art keywords
shower head
gas
reaction chamber
insulator plate
space
Prior art date
Application number
TW88105531A
Other languages
English (en)
Inventor
Joseph T Hillman
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW575678B publication Critical patent/TW575678B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Description

575678 A7 ----^_____ 五、發明說明(1 ) 發明範圍 (請先閱讀背面之注音?事項再填寫本頁) ,本發明就大體而論係關於化學蒸氣沈積(CVD)及電漿_ 增進^化學蒸氣沈積(PECVD),及更特^言之關於提供 一種簡單、可耐久之氣體輸送系統以輸送於cVD及 PECVD反應中之反應物氣體成分而同時維持氣體輸送組 件之適當之熱控制之一種裝置及方法。 發明背景 於積體電路(IC’s)之形成中,時常需要於_種基材(諸如 一種半導體晶圓)之表面上沈積薄材料膜或層,諸如包含 金屬及類金屬元素之膜。此等薄膜之一項目的係於電路中 提供導電及歐姆之接觸及於一個1C之各種裝置之間產生 導電或障壁層。例如,一種需要之膜可係塗敷於一種基材 之一種絕緣層上之一接觸或通路孔之曝露表面,具有膜通 過絕緣層以供應導電材料之塞子,以爲了製造橫越絕緣層 之電連接之目的。 經濟部智慧財產局員工消費合作社印製 用於沈積此等膜之一種熟知之方法係化學蒸氣沈積 (CVD )’其中使用於各種成分或反應物氣體(通常稱爲方 法氣體)之間之化學反應而於一種基材上沈積一種膜。於 CVD中’將方法氣體泵入包含一種基材之一種反應室之方 法空間中。於方法空間中接近基材之處氣體反應,造成一 種或一種以上反應副產物。然後反應副產物沈積於基材上 以於曝露之基材表面上形成需要之膜。 CVD方法之另一種變形,其亦係廣泛地使用,係一種電 漿-增進之C VD方法或PEC VD方法,其中將一種或一種以 -4 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 575678 A7 B7 五、發明說明(2 ) 上之方法氣體游離成爲一種氣體電漿以對於反應方法提供 能量。對於降低以標準之CVD之一種適合之反應通常所需 要之方法溫度及熱能量,PECVD係優良的。於PECVD 中,將電能量輸送至一種或一種以上方法氣體以生成及維 持電漿,及因此,反應需要較少之熱能量。 對於一種此等PECVD方法,一種平面之基座或平面之支 座結構(其支持於方法空間中之基材)及於方法空間中之另 一種平面之元件(諸如一種方法氣體供應元件)係用射頻 (RF)能量一電偏斜以如相對於RF電極操作以激動一種或 一種以上之反應物氣體成爲一種游離之電漿。此種方法通 常係稱爲一種平行板PECVD方法,此由於平面之基座及 其他經偏斜之平面元件通常係維持於彼此平行以模擬具有 基材安置於其間之經偏斜之電板。基材通常亦係維持於平 行於經電偏斜之板或元件。 於CVD及PECVD方法中,方法氣體之適合之輸送至方法 空間及接近基材係重要的。方法氣體係通過一種氣體輸送 系統(其提供沈積方法需要之氣體之適合之流動及分布)而 輸送至方法空間及基材。通常,此等氣體輸送系統於反應 室中包含氣體-分散之元件,諸如氣體噴射器環或平坦之 蓮蓬頭,其等散布進入之方法氣體於方法空間中以確保接 近基材之氣體之均句之分布及流動。均勻之氣體分布及氣 體流動對於一種均勻並且有效之沈積方法、一種稠密之電 漿(用於PECVD )、及於基材上之一種勻句地沈積之膜係 較佳的。對於將方法氣體引進,環及蓮蓬頭皆係很有效之 -5- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) — I.------------------訂---"------線·- (請先閱讀背面之注意事項再填寫本頁) 575678 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(3 ) 形態。對於PECVD,由於蓮蓬頭作爲一種經偏斜之電極 及一種氣體-分散之元件之雙重功能,因此已證實彼等係 特別較佳的。 習用之射頻PECVD方法通常使用相對於一種平行、經偏 斜之基座之一種經偏斜、平面之氣體蓮蓬頭。一種此種 PECVD方法及蓮蓬頭結構係揭示於美國專利第5,507,243 號中,其係連同本專利申請案共同持有。另一種適合之蓮 蓬頭結構係揭示於美國序號第08/940,779號,名稱”於 CVD及PECVD反應中避免反應物氣體之過早混合之裝置 及方法”中揭示。特許之專利及申請中之專利申請案皆係 以提及之方式完整地併入本文中。 雖然此等蓮蓬頭結構製造適合之PECVD膜,但是彼等需 要一種複雜之支持及偏斜之總成。該總成係結於反應室之 内部接近基材,及因此,反應室必須適合地裝配。存在之 蓮蓬頭支持及偏斜之總成對於設計及製造係比所期望者略 微較複雜及昴貴的。例如,參考美國專利第5,567,243 4號 之圖2、2 A、及2 B,舉例説明蓮蓬頭總成,其於製造之 期間需要多種精確地機器製造之零件、相對地複雜之扣接 及密封之階段,及此對於PECVD方法之一種射頻或其他 之電源所需要之電聯結較複雜。不但製造此等總成係相對 地困難及昂貴,而且保養彼等亦係較困難及筇貴的。再 者,較複雜之總成係較難以清潔的。 存在之CVD氣體輸送系統,及特定言之使用蓮蓬頭者, 之一種另外之缺點係於CVD及PECVD方法之期間缺乏蓮 -6 - —,------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 575678 經濟部智慧財產局員工消費合作社印製 A7 ------___ 五、發明說明(4 ) =項 < 通合 < 溫度控制。於一種化學蒸氣沈積方法之期 ^ &制於方法空間中各種組件之表面溫度以維持彼等於 二要之溫度範圍内係較佳的。更特定言之,對於與方法氣 把要觸u件(諸如蓮蓬頭),維持溫度控制以防止於此等 兀件上不需要之沈積係較佳的。例如,當沈積氮化鈦 (Tm)時。,維持接觸先質方法氣體(Tici4&NH〇之所有表 面於125 C至225 C之範圍内之溫度係較佳的。由於反應室 =括内邵及整合〈加熱及冷卻系統,因此對於反應室之内 f及蓋表面之此種溫度控制可係可能的。然而,此等之室 溫度控制系統通常不提供蓮蓬頭之溫度控制。因此,蓮蓬 頭之溫度將係由於CVD及PECVD<期間方法空間之溫度 不可預測之變動決定。 於是,本發明之一項目的係於一種cVD方法中以製造、 裝配及保養係簡單之一種總成輸送方法氣體。 本發明之另一項目的係於該CVD方法中以製造、裝配及 保養係不筇貴之一種總成輸送方法氣體。 本發明之再另一項目的係輸送CVD方法氣體而同時維持 於方法空間之内所有表面之適合之溫度控制。 本發明t 一項目的特別地係提供接觸方法氣體之一種蓮 蓬顽之溫度控制,俾能防止於蓮蓬頭上之沈積。 本發明之再另一項目的係於在一種矽基材上沈積一種氮 化鈦(ΤιΝ )膜之期間提供一種氣體-分散之蓮蓬頭之溫度 控制、及降低於沈積之TiN膜中之氯含量。 再另一項目的係提供清潔及保養係較簡單之一種CVD蓮 本紙張尺錢財關家標準(CNS)A4規格(210 X 297公爱) --·-----------------—tl----I---線fmr (請先閱讀背面之注咅?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 575678
蓬頭。 發明概要 以上討論之目的及其他之目的係由本發明陳述,其供應 一種氣體-分散之蓮蓬頭總成,製造及保養其皆係簡單並 且不昴貴的。清潔本發明之蓮蓬頭總成係容易的及其可係 使用其中安置蓮蓬頭總成之反應室之溫度控制系統、或經 由於CVD方法之期間產生之熱而溫度控制。爲了此種目 的,本發明之蓮蓬頭總成對於沈積氮化鈦(TiN)係特別有 用的及對於降低於蓮蓬頭上不受歡迎之TiN沈積及亦對於 降低於方法中及於在基材上沈積之TiN膜中之氯含量係有 效的。 本發明之蓮蓬頭總成包含多個之堆疊、似板之元件,其 等係經由於一種反應室本體之内壁中一種適合地形成之凹 部而固牢於位置中。於一種具體實施例中,蓮蓬頭係如一 種似板之元件形成及係由室之壁支持。於另一種具體實施 例中,絕緣體板係堆疊於蓮蓬頭之上及之下及堆疊之板係 由至壁支持。特別地,於一種較佳具體實施例中,以機器 製造反應罜本體之側壁以形成一種架,安裝其以接受平面 之遠蓬颈及於其中堆登之其他似板之元件,及支持圍繞彼 等周圍之此等元件。反應室架支持接近方法空間之堆疊之 元件以將一種方法氣體引進至於方法空間中之一種基材。 將一種盍置於罜本體之上高於架及關閉方法空間以適合地 ㈣其中CVD方法之壓力。以此種方式,消除複雜之安置 結構而同時反應室本體架維持元件於一種位向,以使彼等 | - 8 - 本紙張尺度_> _家標準(CNS)A4規格
* --------^--------- (請先閱讀背面之注意事項再填寫本頁) 575678 經濟部智慧財產局員工消費合作社印製 A7 B7__ 五、發明說明(6 ) 之平面通常係平行於在反應室之方法空間中之一種基材, 致使可將氣體適合地引進至方法空間中及可使用蓮蓬頭作 爲一種射頻電極。 於本發明之一種具體實施例中,蓮蓬頭係用射頻能量偏 斜及係電絕緣隔開金屬反應室本體及蓋。爲了於一種射頻 偏斜之蓮蓬頭與金屬反應室之間提供電絕緣,將絕緣體板 安置於蓮蓬頭之頂部及底部於蓮蓬頭與反應室本體及蓋之 間。一種底部電絕緣體板係置於室本體架之上及蓮蓬頭係 堆疊於底部絕緣體板之頂部之上。致使方法氣體可通過蓮 蓬頭流動及進入方法空間中,底部絕緣體板較佳地係於一 種環狀板或於其中具有一中央開口之環之形式。中央開口 與於蓮蓬頭中之多個之分散氣體之開口相符合。環狀之環 於蓮蓬頭之周圍絕緣蓮蓬頭,於該處其通常將接觸架。爲 了蓮蓬頭之適合之電偏斜,底部絕緣體板較佳地包括一種 形成之通道,通過其中以連接一種電能量來源,諸如一種 射頻犯里來源,至蓮蓬頭。於一種較佳具體實施例中,一 種射頻線通道係通過室本體形成,及通過底部板之通道係 與室本體通道相符合。 “ 爲了沿著蓮蓬頭之頂部表面提供電絕緣,將一種頂部電 絕緣體板堆疊於蓮蓬頭之頂部上及係安置於蓮蓬頭與反^ 室本體及蓋之間。爲了於蓮蓬頭之整個頂部表面上提供適 合之絕緣,頂部絕緣體板通常係與蓮蓬頭具有同等之範園 的’及不包括如底部板之一種中央開口。因此,頂部 體板通常係於一種固體碟而非一種環之形式。圍繞頂部絕 -9-
本紙張尺度㈣國冢標準(CNS)A4規格⑽X 297公爱"T ---.------------------訂--------- (請先閱讀背面之注咅?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 575678 A7 B7 五、發明說明(7 ) 緣體板之周圍形成一種向下延伸之周圍之凸緣以電絕緣蓮 蓬頭之周圍邊緣。凸緣自絕緣體板之平面向下延伸及凸緣 之一底部表面置於方法室架之上以支持蓮蓬頭上之第二絕 緣體板。蓮蓬頭周圍係由凸緣圍繞以沿著蓮蓬頭之周圍邊 緣電絕緣蓮蓬頭。頂部絕緣體板於蓮蓬頭之上產生一種氣 體分散空間,其中方法氣體於通過蓮蓬頭進入方法空間之 前可聚集。於頂部絕緣體板中形成一種氣體輸送管線,其 將於蓮蓬頭之上之氣體分散空間聯結於在室本體中形成之 一種方法氣體管線。以此種方法,將方法氣體通過室本體 泵入氣體分散空間中,然後通過蓮蓬頭及進入方法空間 中0 本發明之堆疊式蓮蓬頭總成提供一種氣體輸送總成,製 造及保養其係不複雜的、耐久的、及不昴貴的。該總成提 供蓮蓬頭之容易之清潔。此外,根據本發明之另一項原 理,於頂部絕緣體板與底部絕緣體板之間之合作提供基座 之適合之溫度控制。 於CVD方法之期間内,維持蓮蓬頭之溫度控制、及特定 言之維持蓮蓬頭於一種需要之溫度範圍之内,通常係較佳 的。例如,爲了沈積氮化献(TiN ),維持於方法空間之内 接觸方法氣體之所有表面於在125°C至225°C之範圍内之溫 度以防止於此等表面上過早及不需要之沈積,係較佳的。 此種溫度範圍係比CVD發生之平均溫度較低。倘若蓮蓬頭 需要此等低溫度,則絕緣體板係由一種傳導熱之材料形 成,諸如氮化鋁。然後絕緣體板有效地熱沈浸(Sink)蓮蓬 -10- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) — I.------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 575678 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(8 ) 頭至反應室壁及反應室之蓋。一種冷卻系統,其包括位於 反應室之本體壁及/或蓋中之適合之冷卻元件,控制反應 室之溫度,及因此,控制蓮蓬頭之溫度。相似地,倘若提 高蓮蓬頭之溫度係需要的,則可使用一種加熱系統,包括 於室之本體壁及/或蓋中之加熱元件。然後傳導熱之絕緣 體板將熱沈浸蓮蓬頭至加熱之反應室本體及蓋,及因此將 加熱蓮蓬頭。 根據本發明之另一項原理,蓮蓬頭可係經由自熱絕緣並 且電絕緣之一種材料生成頂部及底部絕緣體板而加熱。例 如,石英將提供此種目的。蓮蓬頭自於反應室之内之基座 及基材接受熱。由於熱不能通過石英絕緣體板而消散,因 此蓮蓬頭溫度將升高至經由基座及基材溫度粗略地決定之 値。 於本發明之一種逼擇之具體實施例中,倘若不需要電絕 緣(諸如用一種標準之CvD方法,其不需要射頻偏斜以增 進電漿),則蓮蓬頭係經由於在反應室本體中形成之架上 支持蓮蓬頭而直接熱聯結於反應室本體及蓋。爲了此種目 的,不使用頂部及底部絕緣體板。決定架之尺寸以於接近 蓮蓬頭之頂部表面產生一種氣體分散空間,以於方法氣體 通過蓮蓬頭分散之前收集方法氣體。 維持各種之方法氣體分隔直到分散彼等進入方法空間爲 止’可係較佳的。於本發明之一種選擇之具體實施例中, 可使用一種雙_氣體蓮蓬頭,其具有多組之氣體_分散之開 口或通路’彼等係與於蓮蓬頭中之其他組之開口物理上隔 ,------裝 -------訂--------- (請先閱讀背面之注意事項再瑣寫本頁) -11 - A7
575678 五、發明說明(9 ) 開:於此種蓮蓬頭之—種具體實施例中,將—種方法氣體 輸送至蓮蓬頭之上之氣體分散空間及其係通過一組之蓮蓬 心、開口刀散,而同時將另一種氣體輸送進入於蓮蓬頭中一 種内4通道中及其係通過另_組之開口分散。可使用具有 或不具有絕緣體板之雙_氣體蓮蓬頭。 如以上提及,本發明用於沈積氮化鈦係特別有用的,其 中維持蓮蓬頭及接觸方法氣體TiCl4及nh3之任何其他元件 、恤度於125 C與225 C之間之溫度範圍内係較佳的。根據 本發明之原理’經由維持蓮蓬頭於需要之溫度,較佳地於 蓮蓬頭上將沈積少量或不沈積TiN。此外,於蓮蓬頭上沈 積使用之消除降低於基材上沈積之TiN膜中之氯含量,及 亦降低經由在方法之期間產生之氯所造成之腐蚀。 里之概要説曰i 附隨之圖’其等係併入並構成本專利説明書之一部分, 圖不本發明之具體實施例及,連同以下提供之本發明之一 般説明’用以解釋本發明之原理。 圖1係適合於使用本發明之蓮蓬頭總成之PECVD之一種 反應室之一種略剖面圖。 圖2係使用本發明之一種反應室之一種選擇之具體實施 例之一種略剖面圖。 圖3係使用本發明之一種反應室之另一種選擇之具體實 施例之一種略剖面圖。 曼之詳細 圖1圖示組合本發明之一種CVD反應室1〇。反應室10包 -12- 本紙張尺度適用中國國ϋ準(CNS)A4規格⑽X 297公 —-------1—--------訂---------^_^wi (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 五、發明說明(1〇 ) 括由一種通合之金屬諸如不銹鋼形成之一種室本體。 j應至本體12於其中界定一種方法空間14,其係由一内 土 1 6及一底邵表面J 8形成。方法空間上頂部係由根據本 發月之原理形成(一種蓮蓬頭總成2〇界定。關閉室本體 1 2及因而封閉方法空間丨4及蓮蓬頭總成μ者係一種室蓋 22,其較佳地亦係由不銹鋼形成。爲了於本體12與蓋μ 之間提供適合之岔封,使用一種封,諸如一種習用之〇_環 封。爲了於反應室1〇中進行c VD及pEC VD方法,内部方 法S間將需要習用之低壓力。爲了此種目的,反應室 通合地將係與一種眞空來源(未表示出)聯結,如係習用 的。 爲了於方法空間14之内支持一種基材26,諸如一種矽 to圓反應皇包括一種基材支座或基座28,其形成基 材26之一支持平台。基座28置於一底座29之上及支持通 常足向平行於蓮蓬頭總成2〇之基材26,如於圖1中圖示。 對於一種特定之CVD或PECVD方法,基材26、及因此基 座28可能需要加熱及因此基座28可係經由底座29而結於 一種週合之溫度控制系統3 〇。而且,雖然基座旋轉確定 地不疋重要的’但是旋轉基座28及基材26以爲了於基材 上均勻之沈積可係較佳的。對於此種目的,基座2 8可係 經由底座2 9而聯結於一種外部旋轉控制系統3 2。其他之 基座控制系統,諸如背面加熱系統及夾持系統(未表示出) 亦可係連同基座2 8使用以加熱並冷卻基材2 6或固牢基材 26於基座28,此對於一般熟諳此技藝者將係容易地瞭 -13- 本紙張尺度適用中國國豕標準(CNS)A4規格(210 X 297公H ) 經濟部智慧財產局員工消費合作社印製 575678 A7 _ B7 五、發明說明(11 ) 解。 除了加熱及/或冷卻於基座28上之基材26以外,加熱及 /或冷卻反應室1 0通常將係較佳的。爲了此種目的,可將 反應室本體12及蓋22皆聯結於一種適合之加熱系統34及 /或冷卻系統3 6。加熱系統3 4係聯結於適合之傳導之加熱 元件34a,其係習用地嵌入室本體1 2及蓋2 2中。相似地, 冷卻系統係聯結於嵌入室本體1 2及蓋2 2中之適合之傳導 之冷卻元件36a。用於控制一反應室1 〇之溫度之此等加熱 及冷卻系統,包括各種元件,於此技藝中係熟知的及係市 販的。 根據本發明之原理,蓮蓬頭總成2 0係由一系列之堆 疊、似板之元件、或板形成,其等係以一種堆疊之構造排 列及係支持於經由於反應室本體12之内壁16中形成之一 種凹部而產生之一種平面架上。於圖1中圖示之具體實施 例中,反應室蓋2 2之形狀通常亦係平面的及因此可認爲 其係除了本發明之堆疊式蓮蓬頭總成2 0以外之另一片 板。參考圖1,於反應室本體12之内壁16中接近蓋22經 由圍繞内壁16接近室本體之頂部以機器製造一種適合之 凹部40而形成一種平面架或突出部分42。架42通常係於 反應室1 〇之内水平地位向。架4 2圍繞内壁1 6延伸及係較 佳地形成及安裝以接受蓮蓬頭總成2 0之堆疊式構成物。 架於蓮蓬頭總成之周園接近方法空間1 4及接近基材2 6支 持蓮蓬頭總成以引進方法氣體至基材。如於以下進一步討 論,總成之板元件置於架4 2之上及堆疊式構造係於其之 -14- 本紙張尺度適用中國國家標準(CNS)A4規格(21G X 297公着) —.----------—-------------- (請先閲讀背面之注意事項再瑣寫本頁) 經濟部智慧財產局員工消費合作社印製 575678 A7 —------— B7______ 五、發明說明(12 ) 周圍邊緣由架支持。 本發明之蓮蓬頭總成2〇包括一種平面或似板狀之蓮蓬 颂4 4,其已於其中形成一系列之氣體-分散之開口或通路 4 6以將一種方法氣體引進入方法空間1 4中。蓮蓬頭4 4較 佳地係圓形以配合圓筒形狀之反應室本體1 2之圓形剖面 及係由一種適合之材料諸如鋁形成。 蓮蓬頭總成2 0另外包括一種通常平坦或平面之底部絕 緣體板5 0及一種頂部絕緣體板5 2。底部絕緣體板係由架 4 2支持(該架係經由一種室本體凹部4 〇形成)及係位於蓮 蓬頭4 4與室本體之架4 2之間以電絕緣蓮蓬頭4 4以隔開室 本體1 2。如於圖1中圖示,底部絕緣體板5 〇之形狀通常係 平坦或平面的,於一種較佳具體實施例中,採用於其中具 有由參考號碼56界定之一種中央開口之一種中空板或環 之形式。蓮蓬頭44置於板50之頂部上及兩種元件通常係 同軸的及同圓心的及係於彼等之周圍邊緣由架4 2支持。 板50因而於蓮蓬頭44之周圍圍繞蓮蓬頭44及防止於蓮蓬 頭與室本體1 2之間之接觸。因此電絕緣蓮蓬頭以隔開室 本體。中央開口 5 6符合並對應於蓮蓬頭之開口 4 6之位置 及因此開啓於方法空間1 4與蓮蓬頭4 4之間之路徑以容許 方法氣體自蓮蓬頭之通過至進入方法空間中。即,底部絕 緣體板50通常將不干擾氣體通過蓮蓬頭44及進入其之方 法空間1 4之流過。 如於上文中討論,以一種射頻能量來源電偏斜蓮蓬頭 4 4以使於一種PECVD方法之期間蓮蓬頭如一種電極作 -15- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ---;丨--------裝--------訂 -------- (請先閱讀背面之注咅?事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製 575678 A7 ------- B7 五、發明說明(13 ) 用,時常係較佳的。爲了此種目的,於圖i中之底部絕緣 體板50之具體實施例包括一種形成之開口 51,通過其以 經由於此技藝中知曉之任何習用之方法而將一種電導線 5 8聯結於蓮蓬頭4 4。電導線5 8,轉而,係聯結於一種射 頻能量來源6 0以偏斜作爲對於PECVD使用之一種射頻電 極之蓮蓬頭4 4。爲了於射頻能量夾源6 0與蓮蓬頭4 4之間 取1*結導線58 ’於室本體12之中形成* —種適合之通道。 頂部絕緣體板5 2充當不但電分隔及絕緣蓮蓬頭4 4以隔 開室本體1 2、而且隔開反應室蓋2 2之雙重功能。爲了此 種目的,頂部絕緣體板5 2包括一種平面之中央部份6 1及 周圍之凸緣部分62,其圍繞中央部分61延伸。中央部分 61通常係與室蓋22有同等範圍以電分隔蓮蓬頭44隔開室 蓋。周圍之凸緣部分62自部分61向下垂下及延伸進入於 室本體12中形成之凹部40及進一步電分隔蓮蓬頭44。凸 緣邵分62之一底部表面65置於架42之上以支持於架之上 之絕緣體板52。 如於圖1中觀察到,周圍之凸緣部分6 2接觸底部絕緣體 板50及以一種堆疊之方式有效地圍住於兩者之間之蓮蓬 頭。爲了此種目的,頂部絕緣體板5 2之周圍部分6 2包括 一種架6 4,其與底部絕緣體板5 0合作以固牢於兩片絕緣 體板之間之蓮蓬頭。底部絕緣體板5 0之直徑係比頂部絕 緣體板5 2之凸緣邵分6 2之内徑較小。因此,底部絕緣體 板5 0安頓於頂部絕緣體板5 2之内以完全地圍住蓮蓬頭。 底部絕緣體板之外部周圍邊緣鄰接頂部絕緣體板之架 -16 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) —------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 575678 A7 ---------- B7 五、發明說明(14 ) 64 ’如於圖1中表示。亦裝配絕緣體板52以於蓮蓬頭之上 形成一種氣體分散空間66。凸緣部分62高於蓮蓬頭之高 度提高中央部分61以界定空間66。氣體分散空間66本質 上係於蓮蓬頭44與蓋22之間形成。頂部絕緣體板5 2有效 地電絕緣蓮蓬頭4 4以隔開蓋2 2及室本體1 2而同時維持足 夠之分散空間,以使氣體可係引進入於蓮蓬頭之一面上然 後通過其中分散至方法空間1 4及基材2 6。決定底部絕緣 體板50直徑之尺寸以配合於周圍凸緣部分62内如於圖1中 表示,以對於蓮蓬頭形成一種完全之絕緣之殼。爲了將方 法氣體引進’於頂邵絕緣體板5 2中形成一種氣體輸送通 道68及與可係於室本體12中適合地形成之一種氣體輸送 通道69聯結,如顯示。通道68、69係聯結於方法氣體之 一種供應70,以輸送一種方法氣體至空間66以通過蓮蓬 頭44分散。以此種方式,氣體輸送通道68、69與蓮蓬頭 44交通以輸送方法氣體至蓮蓬頭44。使用之方法氣體之 類型將係視需要之特定之CVD或PECVD方法而定。 爲了防止氣體自反應室12逸出,底部絕緣體板5〇、頂 部絕緣體板5 2、及蓮蓬頭4 4較佳地係以機器精細地並且 精確地製造,致使當位於堆疊式總成2 0中時,於圖1中表 示,圍繞彼等之周圍邊緣將產生一種適合之密封以防止氣 體自方法空間14移動出至封24。或者,其他封,如〇_環 封72,可係使用於在底部絕緣體板50、蓮蓬頭44、與頂 部絕緣體板5 2之間之各種界面表面,以及於頂部絕緣體 板52與蓋22之間之介面表面’如由〇-環封74圖示。如_ (請先閱讀背面之注咅?事項再填寫本頁) ---------訂---- -17-
575678 A7
五、發明說明(15 ) (請先間讀背面之注意事項再填寫本頁) 般熟諳此技藝者將暸解,亦可使用其他適合之封結構。例 如,可使用一種通常使用之彈簧封(springseal)u取代表 示之各種0-環封。 根據本發明之另一項原理,使用反應室本體12及蓋 2 2,可使用蓮蓬頭總成2 0以提供蓮蓬頭之間接之溫度才命 制。爲了此種目的,底部絕緣體板5 〇及頂部絕緣體板5 2 係由一種熱傳導但電絕緣之材料諸如氮化鋁製造。如以上 討論,反應室本體12及蓋22可係經由元件34a而聯結於一 種加熱系統3 4,或者可選擇地,經由元件36a而聯結於一 種冷卻系統3 6,以選擇性地加熱及冷卻反應室1 〇。使用 於圖1中圖示之蓮蓬頭總成2 0,當底部絕緣體板5 〇及頂部 絕緣體板52係於蓮蓬頭44堆疊於其中之位置時,蓮蓬頭 將有效地熱傳導地聯結於反應室本體1 2及蓋2 2。以此種 方式,蓮蓬頭可係傳導地維持於接近反應室i 〇之溫度之一 種溫度,如由一種加熱系統3 4或一種冷卻系統3 6維持。 於絕緣體板5 0、5 2與蓮蓬頭4 4之間之接觸表面介面將傳 導熱進入或離開蓮蓬頭,如由室之溫度決定。 經濟部智慧財產局員工消費合作社印製 當使用反應立10以沈積氮化欽時,根據本發明之原 理,實現維持一種冷蓮蓬頭之一項特殊之利益。使用於氮 化鈦之一種習用之CVD方法之方法氣體係TiCl4及NH3。當 使用TiCh及NH3時,維持與此等方法氣體接觸之所有表面 於125°C至225°C之範園之溫度以防止於此等表面上過早及 不需要之沈積,係較佳的。以往,對於反應室表面此已係 經由如以上討論使用各種加熱及冷卻系統而可能的。然 -18- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) · 經濟部智慧財產局員工消費合作社印製 575678 A7 -------— B7__ 五、發明說明(16 ) 而對於蓮蓬頭及蓮蓬頭表面,以通常係直到本發明之後 万可能。經由通過絕緣體板5 〇、5 2 (其等係由一種熱傳 導之材料形成)而熱聯結或沈澱蓮蓬頭44至反應室1〇,可 維持蓮蓬頭44之表面於需要之溫度範圍内,致使將於蓮 蓬頭上之氮化鈥沈積降至最低。 通過由一種熱傳導之材料製造之絕緣體板而熱傳導地沈 浸遂蓬頭至反應室,亦經由降低沈積於基材2 6上之氮化 鈦之層之氯(C1)含量及降低該層腐蝕而對於該氮化鈦層 提供利益。更特定言之,經由消除於蓮蓬頭上之不需要之 氮化鈦沈積,降低於方法空間14中之於Ηα之形式之氯之 含量。HC1係於TiCU與NH3之間生成氮化鈦之反應之一種 Μ產物。HC1對於氮化欽係腐蚀的及,因此hci生成之減 少降低沈和層之腐蚀以於基材2 6上供應高品質之一種氮 化鈦層。此外,預期HCL減少將亦降低於基材26上沈積 之層及膜之氯含量。於沈積之TiN層中之氯有害地影響層 之性質。 根據本發明之另一項原理,加熱蓮蓬頭4 4可係需要 的。如於以上討論,此種加熱可係經由將具有絕緣體板 50、52 (其等係由一種熱傳導之材料製造)之蓮蓬頭熱沈 浸於反應▲ 1 〇,然後聯結一種加熱系統3 4至反應室而達 成。然而,加熱一種蓮蓬頭之另—種方法包括生成一種熱 絕緣之材料(诸如石英)之底郅絕緣體板5 〇及頂部絕緣體 板5 2。於一種C VD反應之期間,蓮蓬頭4 4將自基座2 8及 基材26接文熱。輸送至蓮蓬頭之熱不能通過底部及頂部 -19- $氏張尺中國國家標準(CNS)A4規格(210 X 297公爱) ----------- - i 丨!丨丨丨訂-------- (請先閱讀背面之注意事項再填寫本頁) 575678 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(17 ) 絕緣體板5G、52而傳導地消散至反應室。因此,蓮蓬濟 溫度將上升至某種値’其通常係由基座28及基材26之溫 度決疋。咸認知,蓮蓬頭溫度之較不獨立之控制係經由此 種万法而控制,如相對於使用熱傳導之絕緣體板5〇、Η 而熱沈殿蓮蓬頭至反應室。 總成2 0係容易地裝配及拆卸及因此係容易地保養。堆 璺之似板狀之7L件可係容易地移除以清潔及保養,及不是 經由分別之結件而連接一起。 圖2圖示本發明之一種選擇之具體實施例,其中電絕緣 蓮蓬頭44以隔開反應室i 〇係不需要的。即,對於熱cvD 將使用室80及不需要蓮蓬頭44之射頻偏斜。對於此種目 的,可消除底部絕緣體板5 0及頂部絕緣體板5 2,及蓮蓬 頭44將係直接地安裝於具有一種本體82及一種蓋84之反 應室80中。一種凹部86,包括一種架88,係於反應室本 體82中形成,致使蓮蓬頭44係安置並且支持於架88之 上。於本體82中建造架88,致使於蓋84與蓮蓬頭44之間 形成一種氣體分散空間8 9,其係經由一種適合之管線9 2 而聯結於方法氣體之一種來源9 0。一部分之管線係於室 本體8 2中形成以爲了與空間8 9聯結之目的。 於圖2中圖示之具體實施例中,金屬蓮蓬頭44係通過其 之與架8 8之連接而直接地熱聯結於反應室8 0。以蓮蓬頭 直接地熱沈浸於反應室之本體8 2及蓋8 4,蓮蓬頭可係經 由以一種適合之加熱系統9 4及元件94a、或一種冷卻系統 9 6及元件96a加熱及冷卻反應室8 0而直接地加熱及冷卻。 20- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 575678 A7 ---- B7 五、發明說明(18 ) 於圖2中圖示之具體實施例係根據本發明之原理而容易地 並且不昴貴地製造及裝配及保養。此外,提供蓮蓬頭之溫 度fe制。如以上提及,對於氮化鈦之沈積以降低於蓮蓬頭 44上之沈積及亦降低於基材98上之膜層中之氯含量及層 之腐蝕,圖2之具體實施例係特別有用的。爲了支持基材 98 ’可使用一種基座99,其相似於在圖1中圖示之基座及 使用其之各種組件及系統。 圖3圖示使用蓮蓬頭之另一種選擇之具體實施例之一種 反應室100。設計蓮蓬頭1〇2以將兩種不同之方法氣體引 進入一種方法空間104中及接近一種基材1〇6而於進入方 法空間之前不混合兩種氣體。基材1〇6係支持於一種基座 108上’其係相似於在前文中討論之基座。蓮蓬頭1〇2, 雖然係顯示於反應室1 〇〇中,但亦可使用於在圖1中圖示 之反應室10中、以及於圖2中顯示之反應室8〇中。即,雖 然圖3顯示直接地熱及電聯結於反應室ι〇〇之蓮蓬頭1〇2, 但是蓮蓬頭102亦可係使用如以上揭示之絕緣體板而電及/ 或熱絕緣以隔開反應室。 蓮蓬頭102包括於其中形成之一系列之通道11〇,其等係 聯結於一種氣體分散空間112。來自一種供應114之方法 氣體係通過一條氣體輸送管線i 16而聯結於空間j 12,一 部为之管線116係於室本體1 〇 1中形成。當然,管線11 $亦 可係通過室100之蓋103而引導,具有適當部分之管線係 通過蓋103而形成,其亦可係於以上討論之反應室1〇、8〇 中達成。自供應114引進之氣體通過分散空間112移動然 -21 - 本紙張尺度適用中國國豕標準(CNS)A4規格(21〇 X 297公爱) —------------------訂— (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 575678 A7 ___ B7 五、發明說明(19) 後通過分散通道110引導進入方法空間104接近基材106。 亦裝配蓮蓬頭102以包括第二種氣體分散空間12〇,其係 聯結於多個之通道122,其之形狀及尺寸可係相似於通道 11〇。空間uo係聯結於一種適合之氣體輸送管線124,其 之一部分係於室本體101中形成。管線124,轉而,係聯 結於方法氣體之一種供應126。例如,當沈積氮化鈦時, TiCU氣體可係通過管線124及通道122引進而同時其他方 法氣體,諸如NH3,可係通過管線丨丨6及通道丨丨〇引進。以 此種方式,防止兩種氣體於蓮蓬頭中混合及兩種氣體僅於 方法空間104中接近基材106混合。如於同在申請中之美 國專利申請案序號第08/940,779中討論,防止成分氣體之 過早之混合以進一步防止於蓮蓬頭1〇2上或於氣體分散通 道110、122中不需要之沈積,係較佳的。如以上提及, 根據本發明之原理,亦可使用具有絕緣體板以電及/或熱 絕緣蓮蓬頭之蓮蓬頭1 〇2。 雖然本發明係已經由其之具體實施例之説明而舉例説 明’及雖然已相當詳細地敘述具體實施例,但是本專利申 請人等不意圖約束或以任何方式限制隨之申請專利範圍之 範圍至此種細節。對於,熟諳此技藝之士,另外之優點及 修飾將容易地呈現。因此,本發明於其之較寬廣之態樣係 不文限於代表性之裝置及方法、及顯示並敘述之舉例説明 之實例之特定之細節。於是,可作偏離此等細節之修飾而 不違背本專利申請人等之一般之發明概念之精神及範圍。 -22- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐) 丨丨--------一--------訂---------- (請先閱讀背面之注咅?事項再填寫本頁)

Claims (1)

  1. 575678 第088105531號專利申請案 B8 C8 中文申請專利範圍替锋本(92,年坟月)D8 了.7 ,; ! ; : 二.……: 一’叫 \ ' :;;- 1. 一種使用一種方法氣體以將一種材料層化學蒸氣沈積於 一種基材上之反應室,該反應室包含: 具有一種内壁,其界定用於包含一種基材之一種方法 空間,之一種室本體; 安裝以與該室本體聯結以有效地封閉該方法空間之一 種蓋;
    裝 位於該室本體之内部用於分散一種方法氣體進入該方 法空間中之一種平面之蓮蓬頭; 於該室本體之内壁中提供之一種架,安裝該室本體架 以接受該蓮蓬頭及於該蓮蓬頭之周圍邊緣接近該方法空 間支持該蓮蓬頭以引進一種方法氣體至於該方法空間中 之一種基材; 因而對於化學蒸氣沈積提供一種不昂貴並且容易地保 養之氣體輸送系統。 2. 如申請專利範圍第1項之反應室,另外包含接近該蓮蓬 頭之一面之第一種氣體分散空間,當該蓮蓬頭係由該架 支持時,對於在輸送至該反應室之方法氣體通過該蓮蓬 頭分散之前積聚該方法氣體,該氣體分散空間係可實行 的。 3. 如申請專利範圍第2項之反應室,其中該氣體分散空間 係界定於該蓋與該蓮蓬頭之間。 4. 如申請專利範圍第1項之反應室,另外包含於該室本體 中提供之一種氣體輸送通道,該氣體輸送通道可實行地 與該蓮蓬頭交通以輸送一種方法氣體至該蓮蓬頭以分散 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) ABCD 575678 々、申請專利範圍 其進入該方法空間中。 5. 如申請專利範圍第1項之反應室,另外包含於該室本體 中提供之一種通道以聯結電能量之一種來源至該蓮蓬頭 以電偏斜該蓮逢頭。 6. 如申請專利範圍第2項之反應室,另外包含於該室本體 中提供之一種氣體輸送通道,該氣體輸送通道可實行地 與該氣體分散空間交通以輸送一種方法氣體至該蓮蓬 頭。 7. 如申請專利範圍第1項之反應室,另外包含由該室本體 架支持之一種底部絕緣體板,該底部絕緣體板係位於該 蓮蓬頭與該室本體之間以電絕緣該蓮蓬頭以隔開該室本 體。 8. 如申請專利範圍第7項之反應室,其中該底部絕緣體板 係於其中具有一種中央開口之一種環之形式,該環於該 蓮蓬頭之周圍接觸該蓮蓬頭以絕緣該蓮蓬頭,該中央開 口係與於該蓮蓬頭中之氣體-分散之開口相符合以引進 氣體進入該方法空間中。 9. 如申請專利範圍第7項之反應室,其中該底部絕緣體板 包括通過其中形成之一種通道以通過該底部絕緣體板聯 結電能量之一種來源至該蓮蓬頭以電偏斜該蓮蓬頭。 10. 如申請專利範圍第7項之反應室,其中該底部絕緣體板 係由一種熱傳導之材料形成。 11. 如申請專利範圍第7項之反應室,其中該底部絕緣體板 係由一種熱絕緣之材料形成。 -2- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) Φ 裝 訂 575678 A8 B8 C8 D8 六、申請專利範圍 12. 如申請專利範圍第1項之反應室,另外包含由該室本體 架支持之一種頂部絕緣體板,該頂部絕緣體板係位於該 蓮蓬頭與該室本體及蓋之間以電絕緣該蓮蓬頭以隔開該 室本體及蓋。 13. 如申請專利範圍第1 2項之反應室,其中該頂部絕緣體板 係由一種熱傳導之材料形成。 14. 如申請專利範圍第1 2項之反應室,其中該頂部絕緣體板 係由一種熱絕緣之材料形成。 15. 如申請專利範圍第1 2項之反應室,其中該頂部絕緣體板 形成接近該蓮蓬頭之一面之一種氣體分散空間,對於在 輸送至該反應室之方法氣體通過該蓮蓬頭分散之前積聚 該方法氣體,該氣體分散空間係可實行的。 16. 如申請專利範圍第1 2項之反應室,其中該頂部絕緣體板 包括自一種中央部分向下垂下之一種周圍之凸緣部分, 該周圍之凸緣部分係由該架支持。 17. 如申請專利範圍第1 2項之反應室,其中該頂部絕緣體板 包括於其中提供之一種氣體輸送通道以輸送方法氣體至 該蓮蓬頭以於該方法空間中分散。 18. 如申請專利範圍第1項之反應室,其中該蓮蓬頭包含第 二種氣體分散空間,該第二種氣體分散空間係與該第一 種氣體分散空間分隔以使不同之方法氣體可係通過該蓮 蓬頭分散而於分散之前不混合。 19. 一種使用一種方法氣體以將一種材料層化學蒸氣沈積於 一種基材上之反應室,該反應室包含·· -3- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    裝 玎 575678 A8 B8 C8 D8 六、申請專利範圍 具有一種内壁,其界定用於包含一種基材之一種方法 空間,之一種室本體; 安裝以與該室本體聯結以有效地封閉該方法空間之一 種蓋; 位於該室本體之内部用於分散一種方法氣體進入該方 法空間中之一種平面之蓮蓬頭; 位於該蓮蓬頭之一面上於該蓮蓬頭與該室本體之間以 電絕緣該蓮蓬頭以隔開該室本體之一種底部絕緣體板; 位於該蓮蓬頭之另一面上於該蓮蓬頭與該室本體及蓋 之間以電絕緣該蓮蓬頭以隔開該室本體及蓋之一種頂部 絕緣體板; 於該室本體之内壁中提供之一種架; 該平面之蓮蓬頭及頂部及底部絕緣體板係於一種堆疊 式構造排列,堆疊式構造係位於該架上及支持於該架之 周圍邊緣接近該方法空間以引進一種方法氣體至於該方 法空間中之一種基材; 因而對於化學蒸氣沈積提供一種不昂貴並且容易地保 養之氣體輸送系統。 20. 如申請專利範圍第1 9項之反應室,其中該堆疊式構造界 定接近該蓮蓬頭之一面之第一種氣體分散空間,對於在 輸送至該反應室之方法氣體通過該蓮蓬頭分散之前積聚 該方法氣體,該氣體分散空間係可實行的。 21. 如申請專利範圍第1 9項之反應室,其中該底部絕緣體板 係於其中具有一種中央開口之一種環之形式,該中央開 -4 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) A B c D 575678 六、申請專利範圍 口係與於該蓮蓬頭中之氣體-分散之開口相符合以引進 方法氣體進入該方法空間中。 22. 如申請專利範圍第1 9項之反應室"其中該底邵絕緣體板 包括通過其中形成之一種通道以通過該底部絕緣體板聯 結電能量之一種來源至該蓮蓬頭以電偏斜該蓮蓬頭。 23. 如申請專利範圍第1 9項之反應室,其中該底部絕緣體板 係由一種熱傳導之材料形成。 24. 如申請專利範圍第1 9項之反應室,其中該底部絕緣體板 係由一種熱絕緣之材料形成。 25. 如申請專利範圍第1 9項之反應室,其中該頂部絕緣體板 係由一種熱傳導之材料形成。 26. 如申請專利範圍第1 9項之反應室,其中該頂部絕緣體板 係由一種熱絕緣之材料形成。 27. 如申請專利範圍第1 9項之反應室’其中該頂部絕緣體板 包括於其中形成之一種氣體輸送通道以輸送方法氣體至 該蓮蓬頭以於該方法空間中分散。 28. 如申請專利範圍第1 9項之反應室’其中該蓮蓬頭包含第 二種氣體分散空間,該第二種氣體分散空間係與該第一 種氣體分散空間分隔以使不同之方法氣體可係通過該蓮 蓬頭分散而於分散之前不混合。 29. —種用於使用一種方法氣體以塗敷一種材料層於一種基 材上之化學蒸氣沈積方法,該方法包含: 提供具有一種内壁,其界定用於包含一種基材之一種 方法空間,之一種室本體; -5- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 575678 A8 B8 C8 D8 、申請專利範圍 將一種基材置於該方法空間中; 於該室之内壁中提供一種架,以該架接近該方法空 間; 將一種平面之蓮蓬頭置於該室之内以分散一種方法氣 體進入該方法空間中,及堆疊該蓮蓬頭於該架上,以使 其係支持於其之周圍邊緣接近該方法空間以引進一種方 法氣體至於該方法空間之該基材。 30. 如申請專利範圍第2 9項之方法,另外包含堆疊一種底部 絕緣體板於該室架上於該蓮蓬頭之下,以使該底部絕緣 體板係位於該蓮蓬頭與該室之間及電絕緣該蓮蓬頭以隔 開該室。 31. 如申請專利範圍第3 0項之方法,其中該底部絕緣體板係 於其中具有一種中央開口之一種環之形式,該方法另外 包含將該環與該蓮蓬頭同中心地安置以使該中央開口係 與於該蓮蓬頭中之氣體-分散之開口相符合以引進氣體 進入該方法空間中。 32. 如申請專利範圍第3 0項之方法,其中該底部絕緣體板係 由一種熱傳導之材料形成。 33. 如申請專利範圍第3 0項之方法,其中該底部絕緣體板係 由一種熱絕緣之材料形成。 34. 如申請專利範圍第2 9項之方法,另外包含堆疊一種頂部 絕緣體板於該室架上於該蓮蓬頭之上,該頂部絕緣體板 係位於該蓮蓬頭與該室之間以電絕緣該蓮蓬頭以隔開該 室。 -6 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    裝 訂-
    A B c D 575678 六、申請專利範圍 35. 如申請專利範圍第3 4項之方法,其中該頂部絕緣體板係 由一種熱傳導之材料形成。 36. 如申請專利範圍第3 4項之方法,其中該頂部絕緣體板係 由一種熱絕緣之材料形成。 37. 如申請專利範圍第2 9項之方法,其中該頂部絕緣體板包 括自一種中央部分向下垂下之一種周圍之凸緣部分,該 周圍之凸緣部分係由該架支持,該方法另外包含將該底 部絕緣體板置於該頂部絕緣體板之内以完全地圍住該蓮 蓬頭及絕緣該蓮蓬頭以隔開該室。 -7- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 第0881〇5531號專利申請業 中文專利圖式替換頁(92年12月)
    靂 矍 BS § 面 1 勇 Si S S I 画 S SB 8 S S 函 矍 S
TW88105531A 1998-04-09 1999-04-07 Stacked showerhead assembly for delivering gases and RF power to a reaction chamber TW575678B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/057,818 US5997649A (en) 1998-04-09 1998-04-09 Stacked showerhead assembly for delivering gases and RF power to a reaction chamber

Publications (1)

Publication Number Publication Date
TW575678B true TW575678B (en) 2004-02-11

Family

ID=22012939

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88105531A TW575678B (en) 1998-04-09 1999-04-07 Stacked showerhead assembly for delivering gases and RF power to a reaction chamber

Country Status (7)

Country Link
US (1) US5997649A (zh)
JP (2) JP3597871B2 (zh)
KR (1) KR100390592B1 (zh)
DE (1) DE19980683C2 (zh)
GB (1) GB2339577B (zh)
TW (1) TW575678B (zh)
WO (1) WO1999053116A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101018884B (zh) * 2004-04-30 2011-06-08 兰姆研究公司 包含输送工艺气体和射频功率的气体分配单元的等离子处理设备
CN102648512A (zh) * 2009-11-18 2012-08-22 圆益Ips股份有限公司 喷头组件和包括该喷头组件的薄膜沉积装置

Families Citing this family (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6547922B2 (en) * 2000-01-31 2003-04-15 Canon Kabushiki Kaisha Vacuum-processing apparatus using a movable cooling plate during processing
US6712929B1 (en) * 2000-08-08 2004-03-30 Lam Research Corporation Deformation reduction at the main chamber
TWI334888B (zh) * 2000-09-08 2010-12-21 Tokyo Electron Ltd
US6370796B1 (en) * 2000-09-29 2002-04-16 Sony Corporation Heater block cooling system for wafer processing apparatus
JP3607664B2 (ja) * 2000-12-12 2005-01-05 日本碍子株式会社 Iii−v族窒化物膜の製造装置
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
JP4782761B2 (ja) * 2001-02-09 2011-09-28 東京エレクトロン株式会社 成膜装置
KR100676979B1 (ko) 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
KR100429296B1 (ko) * 2002-09-09 2004-04-29 한국전자통신연구원 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법
JP3877157B2 (ja) * 2002-09-24 2007-02-07 東京エレクトロン株式会社 基板処理装置
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
WO2005045913A1 (ja) * 2003-11-05 2005-05-19 Tokyo Electron Limited プラズマ処理装置
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7220943B2 (en) * 2004-03-26 2007-05-22 Aviza Technology Limted RF stand offs
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
JP5066336B2 (ja) * 2005-12-14 2012-11-07 東京エレクトロン株式会社 高圧処理装置及び高圧処理方法
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US8034213B2 (en) * 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5069427B2 (ja) * 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
WO2008029589A1 (fr) * 2006-09-08 2008-03-13 Tokuyama Corporation Procédé et matériel servant à produire un nitrure d'un élément du groupe iii
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8733279B2 (en) 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
CN101680090B (zh) * 2007-06-19 2012-11-07 东京毅力科创株式会社 真空处理装置
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US20090178763A1 (en) 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US9155134B2 (en) 2008-10-17 2015-10-06 Applied Materials, Inc. Methods and apparatus for rapidly responsive heat control in plasma processing devices
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US9758869B2 (en) 2009-05-13 2017-09-12 Applied Materials, Inc. Anodized showerhead
CN101908579B (zh) * 2009-06-05 2012-01-11 亚洲太阳科技有限公司 用于薄膜太阳能电池制造的智能式冷却系统
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
KR200457817Y1 (ko) * 2009-12-28 2012-01-05 주식회사 케이씨텍 원자층 증착장치의 샤워헤드 유닛
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102012205616B4 (de) * 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
KR102212369B1 (ko) * 2012-08-23 2021-02-03 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버들을 세정하기 위한 방법 및 하드웨어
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR20150046966A (ko) * 2013-10-23 2015-05-04 삼성디스플레이 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
CN104916517B (zh) * 2014-03-11 2017-06-09 上海华虹宏力半导体制造有限公司 反应腔与石英管的隔离装置及其控制方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371828A1 (en) * 2014-06-24 2015-12-24 Applied Materials, Inc. Low cost wide process range microwave remote plasma source with multiple emitters
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US20160096193A1 (en) * 2014-10-03 2016-04-07 Applied Materials, Inc. Parylene deposition process
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
CN104498905B (zh) * 2015-01-05 2017-03-01 中国科学院半导体研究所 用于金属有机化合物化学气相沉积设备反应室的进气顶盘
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP2019503077A (ja) * 2016-01-07 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源及びdc電極を伴う原子層エッチングシステム
KR20180112794A (ko) * 2016-01-22 2018-10-12 어플라이드 머티어리얼스, 인코포레이티드 전도성 층들이 매립된 세라믹 샤워헤드
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7121446B2 (ja) * 2018-08-22 2022-08-18 アプライド マテリアルズ インコーポレイテッド 高密度プラズマ化学気相堆積チャンバ
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021021403A1 (en) * 2019-07-26 2021-02-04 Applied Materials, Inc. Evaporator chamber for forming films on substrates
KR102652014B1 (ko) * 2020-05-12 2024-03-28 세메스 주식회사 기판 처리 장치

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3369989A (en) * 1964-07-22 1968-02-20 Ibm Cathode sputtering apparatus including precision temperature control of substrate
JPS6098629A (ja) * 1983-11-02 1985-06-01 Hitachi Ltd プラズマ処理装置
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
JPS63187619A (ja) * 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH0639709B2 (ja) * 1988-09-05 1994-05-25 日立電子エンジニアリング株式会社 プラズマcvd装置
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JPH02234419A (ja) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3400027B2 (ja) * 1993-07-13 2003-04-28 ティーディーケイ株式会社 鉄系軟磁性焼結体の製造方法およびその方法により得られた鉄系軟磁性焼結体
US5685937A (en) * 1993-09-22 1997-11-11 Batesville Casket Company, Inc. Method for constructing a casket
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
WO1995034092A1 (en) * 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101018884B (zh) * 2004-04-30 2011-06-08 兰姆研究公司 包含输送工艺气体和射频功率的气体分配单元的等离子处理设备
CN102648512A (zh) * 2009-11-18 2012-08-22 圆益Ips股份有限公司 喷头组件和包括该喷头组件的薄膜沉积装置
CN102648512B (zh) * 2009-11-18 2015-04-29 圆益Ips股份有限公司 喷头组件和包括该喷头组件的薄膜沉积装置

Also Published As

Publication number Publication date
DE19980683T1 (de) 2000-05-11
KR100390592B1 (ko) 2003-07-07
GB2339577A (en) 2000-02-02
DE19980683C2 (de) 2003-03-27
JP2001508836A (ja) 2001-07-03
US5997649A (en) 1999-12-07
WO1999053116A1 (en) 1999-10-21
JP3597871B2 (ja) 2004-12-08
GB9927809D0 (en) 2000-01-26
JP2004285479A (ja) 2004-10-14
KR20010013553A (ko) 2001-02-26
GB2339577B (en) 2002-12-18

Similar Documents

Publication Publication Date Title
TW575678B (en) Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US10982326B2 (en) Counter-flow multi inject for atomic layer deposition chamber
TW447014B (en) High temperature chemical vapor deposition chamber
US9373499B2 (en) Batch-type remote plasma processing apparatus
TW463242B (en) Method of depositing a stack including titanium and titanium nitride films on a wafer surface in a single chamber
US10407771B2 (en) Atomic layer deposition chamber with thermal lid
TW467965B (en) Substrate support apparatus with a purge gas channel and pumping system and method for depositing a coating
TW202029401A (zh) 基板處理裝置及基板支撐單元
US9175394B2 (en) Atomic layer deposition chamber with multi inject
US8967081B2 (en) Device and process for chemical vapor phase treatment
TW434325B (en) Chemical vapor deposition (CVD) apparatus
TWI220906B (en) Film forming device
US5626678A (en) Non-conductive alignment member for uniform plasma processing of substrates
JP2010077537A (ja) 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ
JP2002503765A (ja) 化学気相堆積用リアクタ
US11420217B2 (en) Showerhead for ALD precursor delivery
US20020011216A1 (en) Integral susceptor-wall reactor system and method
JP2013065872A (ja) 半導体装置の製造方法および基板処理装置
TW200303043A (en) Showerhead type gas supplier and apparatus for manufacturing semiconductor device having the same
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
TWI223328B (en) Apparatus for depositing thin film on wafer
JP2000073172A (ja) 触媒化学蒸着装置
TW499698B (en) Bell jar having integral gas distribution channeling
JP2005072424A (ja) シャワーヘッド及び成膜装置
TWI287839B (en) Silicon nitride film forming method and silicon nitride forming apparatus

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees