CN102648512A - 喷头组件和包括该喷头组件的薄膜沉积装置 - Google Patents

喷头组件和包括该喷头组件的薄膜沉积装置 Download PDF

Info

Publication number
CN102648512A
CN102648512A CN201080051715XA CN201080051715A CN102648512A CN 102648512 A CN102648512 A CN 102648512A CN 201080051715X A CN201080051715X A CN 201080051715XA CN 201080051715 A CN201080051715 A CN 201080051715A CN 102648512 A CN102648512 A CN 102648512A
Authority
CN
China
Prior art keywords
gas
buffer part
substrate
sprayer body
acceptance division
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201080051715XA
Other languages
English (en)
Other versions
CN102648512B (zh
Inventor
韩昌熙
柳东浩
李起薰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lap Yi Cmi Holdings Ltd
Wonik IPS Co Ltd
Original Assignee
YUANYI IPS CORP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by YUANYI IPS CORP filed Critical YUANYI IPS CORP
Publication of CN102648512A publication Critical patent/CN102648512A/zh
Application granted granted Critical
Publication of CN102648512B publication Critical patent/CN102648512B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种用于在基板上沉积薄膜的喷头组件以及具有该喷头组件的薄膜沉积装置。喷头组件包括:多个注气单元,径向置于基板上,其中每个注气单元包括接收部和多个注入孔,所述接收部被配置为接收从外部提供的气体,所述多个注入孔被配置为在接收部内注入气体,其中,所述多个注气单元的至少一个注气单元包括:其中限定的接收部;喷头体,包括被配置为向接收部提供第一气体的第一入口和向接收部提供第二气体的第二入口,所述喷头体包括位于其底部的多个第一注入孔和多个第二注入孔,其中,第一注入孔和第二注入孔通过所述喷头体的底部;隔板,具有平板状,并且包括多个通过其中的多个插入孔,所述隔板置于喷头体的接收部中,面对喷头体的底板,以将接收部划分为以第一入口相连接的的第一缓冲部和与第二入口相连接的第二缓冲部;多个注入销,每个注入销具有中空形,多个注入销的每一个包括连接到插入孔的一端以及连接到第一注入孔的另一端;以及电源,被配置为提供电能以在喷头体的接收部内产生等离子体。

Description

喷头组件和包括该喷头组件的薄膜沉积装置
技术领域
本发明公开了一种在基板上沉积薄膜的喷头组件以及具有该喷头组件的薄膜沉积装置,更具体地,公开了一种使用反应气体和源气体沉积薄膜的喷头组件以及具有该喷头组件的薄膜沉积装置。 
背景技术
半导体制造过程包括在晶片或基板上沉积薄膜的沉积处理。原子层沉积装置和化学气相沉积装置可以用作执行沉积处理的装置。 
原子层沉积装置是将源气体、净化气体、反应气体和净化气体依次注入到基板(晶片)以沉积薄膜。原子层沉积装置具有这样的优点:薄膜能够均匀地沉积在基板上。然而,不足的是,其沉积率相对较低。 
另外,化学气相沉积装置是将源气体和反应气体一起注入到基板上,以通过这两种气体之间的反应将薄膜沉积在基板上。尽管化学气相沉积装置与原子层沉积装置相比,薄膜沉积率相对快。然而,此装置中的沉积薄膜的均匀度却相对较低。 
然而,由于根据现有技术的原子层沉积装置(旋转器型)包括多个单个喷头,使得原子层沉积装置不能实现化学气相沉积处理。另一方面,根据现有技术的化学气相沉积装置包括一个双喷头,使得化学气相沉积装置不能实现原子层沉积处理。也就是说,根据现有技术的沉积装置的每一个仅可以实现一种沉积处理。因此,为了实现化学气相沉积处理和原子层沉积处理,需单独制造两种沉积装置。 
此外,在根据现有技术的化学气相沉积装置的情况下,可以在提供的气体中产生等离子体以保证快速反应率。然而,在这种情况下,存在这样的限制:由源气体和反应气体之间的反应所产生的颗粒,可能积聚在装置中。 
发明内容
本发明的目的在于提供一种喷头组件以及具有该喷头组件的薄膜沉积装置,该喷头组件能够实现原子层沉积处理和化学气相沉积处理,并且具有改善的结构,防止在产生等离子体时颗粒积聚在沉积装置内。 
根据示例性实施例,上述薄膜沉积装置包括:室,具有空间部,在空间部中,执行在基板上的沉积处理;基座,基板位于基座上,基座可旋转地置于室的空间部;加热器部,被配置为给基板加热;以及喷头组件。 
根据另一示例性实施例,一种喷头组件,包括: 
多个注气单元,径向置于基板上,每个注气单元包括接收部和多个注入孔,所述接收部被配置为接收从外部提供的气体,所述多个注入孔被配置为在接收部内注入气体,其中,所述多个注气单元的至少一个注气单元包括:其中限定的接收部; 
喷头体,包括被配置为向接收部提供第一气体的第一入口和向接收部提供第二气体的第二入口,所述喷头体包括位于其底部的多个第一注入孔和多个第二注入孔,其中,第一注入孔和第二注入孔通过所述喷头体底部; 
隔板,具有平板状,并且包括多个通过其中的多个插入孔,所述隔板置于所述喷头体的接收部中,与喷头体的底板相面对,以将接收部划分为以第一入口通信的第一缓冲部和与第二入口通信的第二缓冲部; 
多个注入销,每个注入销具有中空形,且包括连接到插入孔的一端以及连接到第一注入孔的另一端; 
以及电源,被配置为提供电能以在喷头体的接收部内产生等离子体,其中,第一气体被提供到第一缓冲部且通过注入销注入到基板上,第二气体被提供到第二缓冲部且通过第二注入孔注入到基板上。 
喷头组件还可以包括分离板,其具有平板状,且包括通过其中的多个进出口孔,所述分离板置于第一缓冲部中以将第一缓冲部划分为两个空间部。 
根据示例性实施例,可以使用一个装置同时执行原子层沉积处理和化学气相沉积处理。因此,可以提高经济效益和装置的效率,并且可以防止在装置内积聚颗粒。 
附图说明
下面将结合附图更加详细地描述示例性实施例,其中: 
图1是根据示例性实施例的薄膜沉积装置的截面图; 
图2是图1所示的喷头组件的平面图; 
图3是图2所示的用于产生等离子体的注气单元的截面图; 
图4是根据另一示例性实施例的喷头注气单元的截面图;以及 
图5是根据另一示例性实施例的用于产生等离子体的注气单元的截面图。 
具体实施方式
图1是根据示例性实施例的薄膜沉积装置的截面图。图2是图1所示的喷头组件的平面图。图3是图2所示的用于产生等离子体的注气单元的截面图。 
参照图1至图3,根据示例性实施例的薄膜沉积装置1000包括室500、基座600、加热器部700和喷头组件300。 
在室500内,具有空间部501,在空间部501中执行在被限定在室500中的基板上的沉积处理。另外,室500具有门和排气通道503,通过该门,基板进入或退出以加载/卸载基板,通过排气通道503可排放室500内的气体。 
基座600具有平板状,并且基板位于基座600上。基座600连接驱动轴601且置于空间部501中,从而基座600可以上升和旋转。多个位于基板上的座部(未图示)被配置在基座600的上表面上。 
加热器部700用于将基板加热到反应温度。也就是说,加热器部700被置于基座600之下以加热基板。 
喷头组件300被配置为执行化学气相沉积处理(CVD)和原子层沉积处理(ALD)。为此,喷头组件300包括多个注气单元,每个注气单元具有接收部和多个注入孔,且径向置于基座600之上。另外,喷头组件300包括至少一个用于产生等离子体的注气单元200。如图2所示,在当前实施例中,,喷头组件300包括5个注气单元101-105。这5个注气单元101-105构成用于产生等离子体的注气单元200。 
用于产生等离子体的注气单元200可以将两种不同气体注入到基板上。注气单元200可以在其中产生等离子体。其后,将参照图3详细描述用于产生等离子体的注气单元200的结构。 
根据示例性实施例,用于产生等离子体的注气单元200包括喷头体240、隔板250、多个注入销270和电源280。 
喷头体240包括上板210、下板220和底板230。上板210具有连接到第一供气管291的第一入口211以及连接到第二供气管202的第二入口212,通过第一供气管291提供第一气体,通过第二供气管202提供第二气体。在此,第一入口211和第二入口212通过上板210。加热器213埋入上板中。下板220具有环状,并且连接到上板210的下端。如图3所示,下板接地。底板230具有平板状。多个注入孔通过底板230。注入孔包括连接到注入销270的多个第一注入孔231和多个第二注入孔232,,随后将对其进行详细描述。底板230对应于喷头体240的底部。底板230连接下板220的下端部,并且置于底板220内。另外,底板230与上板210和下板220一起限定接收部241。底板230电连接到下板220且接地。 
隔板250具有平板状。隔板250具有多个插入孔251和进出口孔252,与上板210的第二入口212相连接。在此,插入孔251和进出口孔252通过隔板250。隔板250置于接收部241内,与底板230相面对,用以将接收部241划分为第一缓冲部243和第二缓冲部242。第一缓冲部243置于隔板250之上,以与第一入口211相连接。第二缓冲部242置于隔板250之下,以与第二入口212相连接。如下所述,隔板250可由导电材料制成,以在接收部241内产生等离子体。 
另外,隔板250通过第一绝缘部件261和第二绝缘部件262绝缘且由第一绝缘部件261和第二绝缘部件262支撑。第一绝缘部件261具有环形且连接到上板210。第一绝缘部件261具有进出口孔,与上板的第二入口212和隔板250的进出口孔252相连接。在此,进出口孔通过第一绝缘部件261。第二绝缘部件262具有环形且连接到下板220。第二绝缘部件262具有进出口孔,与隔板250的进出口孔252相连接。如图3所示,隔板250置于第一绝缘部件261和第二绝缘部件262之间,以支撑第一绝缘部件261和第二绝缘部件262。因此,上板210和下板220与隔板250电绝缘。 
注入销270被配置为在第一气体与供给第二缓冲部242的第二气体相分离的情况下,将供给第一缓冲部243的第一气体注入到基板上。每个注入销270具有中空形。注入销270一端连接到(插入到)隔板250的插入孔251,另一端连接到(插入到)底板230的第一注入孔231。注入销270可以由绝缘材料制成。 
电源280用以给隔板250提供电能,以在接收部241内产生等离子体。具体地,在当前实施例中,电源280向隔板250施加射频(RF)功率。电源280包括RF杆281和RF连接器282。RF杆281具有条形状。另外,RF杆281通过上板210和第一绝缘部件261并且插入到上板210和第一绝缘部件261中。另外,RF杆281连接隔板250。绝缘部件283连接到RF杆281的外表面。RF连接器282连接到RF杆281,以向RF杆281施加RF功率。 
另外,分离板290可被置于喷头体240内。分离板290具有平板状。另外,多个进出口孔291通过分离板290。分离板290置于第一缓冲部243内,以将第一缓冲部243划分为第一空间部2431和第二空间部2432。用于支撑分离板290的支撑销292连接到分离板290的每一侧。通过第一入口211的引入第一气体首先扩散到第一空间部2431。然后,扩散的第一气体通过进出口孔291进入到第二空间部2432,并且再次均匀地扩散在第二空间部2432中。其后,第一气体通过注入销270注入。因此,第一气体能均匀地注入到基板上。 
在包括上述组件的用于产生等离子体的注气单元200中,第一气体通过第一供气管201提供到第一缓冲部243,然后通过注入销270注入。另外,第二气体通过第二供气管202提供到第二缓冲部242,然后通过第二注入孔232注入。在此,当从电源280施加RF功率时,在施加RF功率的隔板250与接地底板230之间,在被提供到第二缓冲部242的第二气体内产生等离子体。 
接下来,将描述使用上述薄膜沉积装置1000沉积SiO2薄膜的处理过程。 
首先,当使用原子层沉积处理沉积SiO2薄膜时,仅使用5个用于产生等离子体的注气单元101-105中的4个用于产生等离子体的注气单元 101-104。也就是说,源气体(SiH4)被提供到用于产生等离子体的第一注气单元101的第一供气管(或第二供气管),反应气体(O2)被提供到用于产生等离子体的第三注气单元103的第一供气管(或第二供气管)。另外,净化气体被提供到用于产生等离子体的第二注气单元102和第四注气单元104的第一供气管(或第二供气管)。 
在设置有基板的基座600处于旋转的状态下,如上所述,当源气体、反应气体和净化气体分别从4个用于产生等离子体的注气单元101-104注入时,按照优先顺序在基板上注入源气体、净化气体、反应气体和净化气体。由此,在基板上沉积薄膜。另外,必要的,当RF功率施加到用于产生等离子体的第三注气单元103的隔板250时,在提供到第二缓冲部的反应气体内产生等离子体(在此情况下,反应气体应该被提供到第二供气管)。因此,可以提高沉积率。 
当使用化学气相沉积处理沉积薄膜时,源气体被提供到用于产生等离子体的注气单元101-105中的每一个的第一供气管201内,反应气体提供到第二供气管202(可选地,源气体可被提供到第二供气管202中,反应气体可被提供到第一供气管201中)。在基板位于基座600的状态下,当源气体和反应气体一起从用于产生等离子体的注气单元注入时,通过化学气相沉积处理在基板上沉积薄膜。另外,必要的,当RF功率施加到用于产生等离子体的注气单元200的隔板250时,在提供到第二缓冲部的反应气体中产生等离子体。因此,可以提高沉积率。在此,尽管在第二缓冲部内的反应气体中产生等离子体,但是在气体注入到用于产生等离子体的注气单元的外部之后将反应气体和源气体混合。因此,可以防止源气体和反应气体之间反应产生的颗粒沉积或积聚在用于产生等离子体的注气单元中。当执行化学气相沉积处理时,可以仅使用部分用于产生等离子体的注气单元,而不是使用全部5个用于产生等离子体的注气单元。 
当使用根据示例性实施例的薄膜沉积装置1000时,可以在一个处理中同时执行原子层沉积处理和化学气相沉积处理。 
在此情况下,也就是说,源气体被提供到用于产生等离子体的第一注气单元101的供气管中,反应气体被提供到用于产生等离子体的第三注气单元103的供气管中,净化气体被提供到用于产生等离子体的第二注气单 元102和第四注气单元104的供气管中,并且源气体和反应气体被提供到用于产生等离子体的第五注气单元105的供气管中。 
在此状态下,在薄膜沉积处理的初始处理中,当没有从用于产生等离子体的第五注气单元105注入气体,并且在旋转基座600的同时仅从用于产生等离子体的第一至第四注气单元101-104注入相应气体时,可以通过原子层沉积处理在基板上非常均匀地沉积薄膜。 
其后,当停止通过用于产生等离子体的第一至第四注气单元101-104的气体注入,并且从用于产生等离子体的第五注气单元105一起注入源气体和反应气体时(在此,在用于产生等离子体的第五注气单元105下沉积基板),可以通过化学气相沉积处理在基板上快速的沉积薄膜。 
在此,在初始处理中,基板上沉积的薄膜(即,被称为种子层的区域)的均匀度可以大大影响沉积和生长的薄膜的均匀度。因此,如上所述,在初始处理中,使用原子层沉积处理沉积薄膜。然后,在种子层生长到一定程度之后,使用化学气相沉积处理沉积薄膜。因此,可以均匀且快速地沉积薄膜。 
在上述实施例中,尽管所有注气单元由用于产生等离子体的注气单元组成,但是本发明并不限于此。例如,用于产生等离子体的注气单元三个注气单元101、103和105可由用于产生等离子体的注气单元组成,而另外两个注气单元102和104可由图4所示的双喷头注气单元200A组成。 
将图4与图3相比,双喷头注气单元200A具有与用于产生等离子体的注气单元200的结构相同的结构。然而,双喷头注气单元200A与用于产生等离子体的注气单元200的不同之处在于:没有设置用于产生等离子体的电源。另外,双喷头注气单元200A可以用于注入不产生等离子体的气体(例如,净化气体)。 
可选地,如图5所示,可配置用于产生等离子体的注气单元,以在第一缓冲部中产生等离子体。图5是根据本发明的另一示例性实施例的用于产生等离子体的注气单元200B的截面图。参照图5,根据当前实施例的用于产生等离子体的注气单元200B包括喷头体240B、电极板215、隔板250B、多个注入销270B和电源280B。 
喷头体240B包括上板210B、下板220B和底板230B。上板210B具 有第一入口211B和第二入口212B。在此,第一入口211B和第二入口212B通过上板210B。另外,加热器213B埋入上板210B中。具有平板状的电极板215连接到上板210B的下部。绝缘部件216置于用于使电极板215与上板210B绝缘的绝缘板与上板210B之间。下板220B具有环状且连接到上板210B的下端。底板230B具有平板状。底板230B具有多个第一注入孔231B和多个第二注入孔232B。在此,第一注入孔231B和第二注入孔232B通过底板230B。底板230B与喷头体240B的底部相应且连接到下板220B的下端。 
隔板250B具有平板状。隔板250B具有多个插入孔251B和进出口孔252B。在此,插入孔251B和进出口孔252B通过隔板250B。隔板250B置于接收部241B内,与底板230B和电极板215相面对,以将接收部241B划分为第一缓冲部243B和第二缓冲部242B。第一缓冲部243B置于隔板250B之上,以与第一入口211B相连接。第二缓冲部242B置于隔板250B之下,以与第二入口212B相连接。另外,隔板250B通过第一绝缘部件261B和第二绝缘部件262B绝缘且由第一绝缘部件261B和第二绝缘部件262B支撑。隔板250B接地。 
注入销270B被配置为在第一气体与第二缓冲部242B的第二气体相分离的情况下,将供给第一缓冲部243B的第一气体注入到基板上。每个注入销270B具有中空形。注入销270B一端连接到(插入到)隔板250B的插入孔251B,另一端连接到(插入到)底板230B的第一注入孔231B。注入销270B可由绝缘材料制成。 
电源280B用于给隔部215提供电能,以在第一缓冲部243B内产生等离子体。具体地,在当前实施例中,电源280B向隔板250B施加RF功率。电源280B包括RF杆281B和RF连接器282B。RF杆281B具有条状。另外,RF杆281B通过上板210B和第一绝缘部件261B并且插入到上板210B和第一绝缘部件261B。另外,RF杆281B连接到电极板215。绝缘部件283B连接到RF杆281B的外表面。RF连接器282B连接到RF杆281B,以向RF杆281B施加RF功率。RF功率施加到电极板215,以在接地的隔板250B和电极板215之间,即在第一缓冲部243B中,产生等离子体。 
尽管已经参照特定实施例描述了喷头组件和具有该喷头组件的薄膜 沉积装置,但是不限于此。因此,本领域的技术人员将容易理解,在不脱离所附权利要求限定的本发明的精神和范围的情况下,可以进行各种修改和改变。 
例如,尽管在上述实施例中喷头组件包括具有相同注入区(大小)的5个注气单元,但是可以根据薄膜沉积处理的特性最优地改变注气单元的数量、注入区域和注气单元的沉积配置。 

Claims (5)

1.一种喷头组件,包括:
多个注气单元,径向置于基板上,每个注气单元包括接收部和多个注入孔,所述接收部被配置为接收从外部提供的气体,所述多个注入孔被配置为在接收部内注入气体,
其中,所述多个注气单元的至少一个注气单元包括:
其中限定的接收部;
喷头体,包括被配置为向接收部提供第一气体的第一入口和向接收部提供第二气体的第二入口,所述喷头体包括位于其底部的多个第一注入孔和多个第二注入孔,其中,第一注入孔和第二注入孔通过所述喷头体的底部;
隔板,其具有平板状,并且包括通过其中的多个插入孔,所述隔板置于喷头体的接收部中,与所述喷头体的底板相对,以将接收部划分为以第一入口通信的第一缓冲部和与第二入口通信的第二缓冲部;
多个注入销,,所述多个注入销中的每个注入销具有中空形,且包括连接到插入孔的一端以及连接到第一注入孔的另一端;以及
电源,被配置为提供电能以在喷头体的接收部内产生等离子体,
其中,所述第一气体被提供到第一缓冲部且通过注入销注入到基板上,第二气体被提供到第二缓冲部且通过第二注入孔注入到基板上。
2.如权利要求1所述的喷头体,还包括:分离板,具有平板状,且包括通过其中的多个进出口孔,所述分离板置于第一缓冲部中以将第一缓冲部划分为两个空间部。
3.如权利要求1所述的喷头体,其中,所述电极板连接到喷头体的上端,以与所述隔板相面对,
所述电源向所述电极板施加能量以在第一缓冲部中产生等离子体,以及
所述隔板接地。
4.如权利要求1所述的喷头体,其中,所述电源向所述隔板施加能量以在第二缓冲部产生等离子体,以及
所述喷头体的底部接地。
5.一种薄膜沉积装置,包括:
室,其具有空间部,在所述空间部中,执行在基板上的沉积处理;
基座,基板位于所述基座上,所述基座可旋转地置于所述室的空间部;
加热器部,其被配置为给基板加热;以及
权利要求1至4的任何一个所述的喷头组件。
CN201080051715.XA 2009-11-18 2010-09-13 喷头组件和包括该喷头组件的薄膜沉积装置 Active CN102648512B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020090111629A KR20110054840A (ko) 2009-11-18 2009-11-18 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
KR10-2009-0111629 2009-11-18
PCT/KR2010/006206 WO2011062357A2 (ko) 2009-11-18 2010-09-13 샤워헤드 어셈블리 및 이를 구비한 박막증착장치

Publications (2)

Publication Number Publication Date
CN102648512A true CN102648512A (zh) 2012-08-22
CN102648512B CN102648512B (zh) 2015-04-29

Family

ID=44060144

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080051715.XA Active CN102648512B (zh) 2009-11-18 2010-09-13 喷头组件和包括该喷头组件的薄膜沉积装置

Country Status (5)

Country Link
US (1) US20120222616A1 (zh)
KR (1) KR20110054840A (zh)
CN (1) CN102648512B (zh)
TW (1) TWI426548B (zh)
WO (1) WO2011062357A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104968833A (zh) * 2013-01-31 2015-10-07 应用材料公司 公共沉积平台、处理站及其操作方法

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20160002784A1 (en) 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US9528185B2 (en) * 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US20170076917A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Plasma Module With Slotted Ground Plate
KR102462931B1 (ko) 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US11189502B2 (en) * 2018-04-08 2021-11-30 Applied Materials, Inc. Showerhead with interlaced gas feed and removal and methods of use
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US11434568B2 (en) 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20230069200A (ko) * 2020-09-17 2023-05-18 램 리써치 코포레이션 고온 프로세스를 위한 별개의 대면 플레이트를 갖는 하이브리드 샤워헤드

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
CN1375575A (zh) * 2001-03-19 2002-10-23 株式会社Apex 化学气相沉积设备
TW575678B (en) * 1998-04-09 2004-02-11 Tokyo Electron Ltd Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
KR20080082222A (ko) * 2007-03-08 2008-09-11 주식회사 아이피에스 라디칼 증착 장치 및 방법
KR20090021931A (ko) * 2007-08-29 2009-03-04 주식회사 아이피에스 가스 분사 조립체 및 이를 이용한 박막증착장치

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100423954B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착방법
US6656284B1 (en) * 2002-06-28 2003-12-02 Jusung Engineering Co., Ltd. Semiconductor device manufacturing apparatus having rotatable gas injector and thin film deposition method using the same
KR100505680B1 (ko) * 2003-03-27 2005-08-03 삼성전자주식회사 루테늄층을 갖는 반도체 메모리 소자의 제조방법 및루테늄층제조장치
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
KR100831198B1 (ko) * 2006-05-19 2008-05-21 주식회사 아이피에스 웰딩형 샤워헤드
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
WO2008088743A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
US20100018463A1 (en) * 2008-07-24 2010-01-28 Chen-Hua Yu Plural Gas Distribution System
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
JP5195175B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP2010084230A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5280964B2 (ja) * 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP2010126797A (ja) * 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
TW575678B (en) * 1998-04-09 2004-02-11 Tokyo Electron Ltd Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
CN1375575A (zh) * 2001-03-19 2002-10-23 株式会社Apex 化学气相沉积设备
KR20080082222A (ko) * 2007-03-08 2008-09-11 주식회사 아이피에스 라디칼 증착 장치 및 방법
KR20090021931A (ko) * 2007-08-29 2009-03-04 주식회사 아이피에스 가스 분사 조립체 및 이를 이용한 박막증착장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104968833A (zh) * 2013-01-31 2015-10-07 应用材料公司 公共沉积平台、处理站及其操作方法

Also Published As

Publication number Publication date
US20120222616A1 (en) 2012-09-06
CN102648512B (zh) 2015-04-29
KR20110054840A (ko) 2011-05-25
TW201125021A (en) 2011-07-16
WO2011062357A3 (ko) 2011-07-14
WO2011062357A2 (ko) 2011-05-26
TWI426548B (zh) 2014-02-11

Similar Documents

Publication Publication Date Title
CN102648512B (zh) 喷头组件和包括该喷头组件的薄膜沉积装置
US6902620B1 (en) Atomic layer deposition systems and methods
JP6240607B2 (ja) 直線型大面積プラズマリアクタ内における均一プロセスのためのガス送出及び分配
KR101554334B1 (ko) 샤워헤드 어셈블리 및 이를 구비한 박막증착장치 및 박막증착방법
KR101100284B1 (ko) 박막 증착 장치
US20050281951A1 (en) Dielectric barrier discharge method for depositing film on substrates
US20040149211A1 (en) Systems including heated shower heads for thin film deposition and related methods
KR20080105617A (ko) 화학기상증착장치 및 플라즈마강화 화학기상증착장치
US20040191413A1 (en) Reactor for thin film deposition and method for depositing thin film on wafer using the reactor
US20070221129A1 (en) Apparatus for depositing atomic layer using gas separation type showerhead
CN102934203A (zh) 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
CN103160922A (zh) 成膜装置以及成膜方法
TWI744378B (zh) 工件處理裝置
KR101635085B1 (ko) 박막증착장치
KR20130142972A (ko) 기판 처리 장치 및 기판 처리 방법
JP6662998B2 (ja) プラズマ処理装置
KR101351399B1 (ko) 기판 처리 장치 및 기판 처리 방법
WO2018164807A1 (en) Diffuser design for flowable cvd
KR100700655B1 (ko) 증착 장치
CN103117201B (zh) Pecvd装置及半导体器件的形成方法
CN110195215A (zh) 气体喷淋头及成膜腔室
KR102026206B1 (ko) 증착 장치
JP2009127131A (ja) コーティング装置及び電極アセンブリの製造方法
TWM651321U (zh) 基板處理設備
TW202410259A (zh) 氣體噴射裝置、基板處理設備及沉積薄膜的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
C56 Change in the name or address of the patentee
CP03 Change of name, title or address

Address after: Gyeonggi Do Korea Pyeongtaek paint 78-40 (jije Dong strange street)

Patentee after: Lap Yi Cmi Holdings Ltd.

Address before: Gyeonggi Do, South Korea

Patentee before: WONIK IPS Co.,Ltd.

TR01 Transfer of patent right

Effective date of registration: 20160728

Address after: South Korea Gyeonggi Do Ping Ze Zhenwei Zhenwei group produced 75 road surface

Patentee after: WONIK IPS Co.,Ltd.

Address before: Gyeonggi Do Korea Pyeongtaek paint 78-40 (jije Dong strange street)

Patentee before: Lap Yi Cmi Holdings Ltd.