CN1375575A - 化学气相沉积设备 - Google Patents

化学气相沉积设备 Download PDF

Info

Publication number
CN1375575A
CN1375575A CN02107463A CN02107463A CN1375575A CN 1375575 A CN1375575 A CN 1375575A CN 02107463 A CN02107463 A CN 02107463A CN 02107463 A CN02107463 A CN 02107463A CN 1375575 A CN1375575 A CN 1375575A
Authority
CN
China
Prior art keywords
shower nozzle
chamber
chemical vapor
buffer portion
equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN02107463A
Other languages
English (en)
Other versions
CN1302152C (zh
Inventor
金宰湖
朴相俊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lap Yi Cmi Holdings Ltd
Wonik IPS Co Ltd
Original Assignee
Apex Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-2001-0013995A external-priority patent/KR100423953B1/ko
Priority claimed from KR10-2001-0013996A external-priority patent/KR100423954B1/ko
Application filed by Apex Co Ltd filed Critical Apex Co Ltd
Publication of CN1375575A publication Critical patent/CN1375575A/zh
Application granted granted Critical
Publication of CN1302152C publication Critical patent/CN1302152C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及化学气相沉积设备。在该化学气相沉积设备中,可与外部RF电源302相连的RF电源连接部分303安装在腔室300的上部;RF电极板306安装在所述腔室内,所述RF电极板以预定的间隔与所述腔室的内上表面隔开并且以预定的间隔与设置在所述RF电极板下方的喷头309隔开;利用由外部RF电源供给到RF电极板上的电能使等离子体产生在由RF电极板和喷头的上表面之间的间隙所限定的第一缓冲部分310中;喷头在垂直方向上被分成两个部分并且所述两个部分之间的间隔限定了第二缓冲部分316;反应气体被供给到产生有等离子体的第一缓冲部分;以及源气体被供给到第二缓冲部分。

Description

化学气相沉积设备
技术领域
本发明涉及一种化学气相沉积(CVD)设备。本发明特别涉及一种使用反应气体的游离基(radical)同时通过在喷头内产生等离子体或者利用喷头将外部等离子体喷射到腔室中顺序地供给处理气体的化学气相沉积设备。
背景技术
通常,为了在低温下获得极好的分层式覆层和薄膜质量特性,源气体和反应气体被顺序地供给到化学气相沉积设备中。这里,将参照图1A至图1C对能够顺序地供给处理气体的常规化学气相沉积设备进行简单的描述。
图1A是表示一种能够顺序地供给处理气体的常规化学气相沉积设备的结构元件的一个示意图。如图1A中所示,该常规化学气相沉积设备包括下部设有出口100的一个腔室101、安装在腔室的顶表面上以进入腔室101的内中央部分的至少一个源气体导管102、至少一个反应气体导管103和至少一个清洁气体导管104、其中形成有多个用于喷射处理气体的喷射孔105的喷头106以及用于支撑晶片或基体107(后面称为“基体”)并同时用作加热源的加热器108,利用通过喷头106喷入的处理气体能够使薄膜沉积在基体107上。
为了利用具有上述结构的常规化学气相沉积设备在基体107上形成薄膜,在一段预定的时间内通过喷头106喷入来自于至少一个源气体导管102的源气体以使源气体被基体107吸附,接着在一段预定的时间内从至少一个清洁气体导管104导入清洁气体以对残留在喷头106和腔室101中的源气体进行清洁。然后,通过出口100将气体排出。接着,在一段预定的时间内由至少一个反应气体导管导入的反应气体通过喷头106被喷射到基体107上,从而,利用反应气体与吸附在基体107中的源气体进行预定的反应在基体上形成薄膜。另外,在再次喷入源气体之前,在一段预定的时间内利用清洁气体对残留在喷头106和腔室101中的反应气体以及反应中所产生的气体副产物进行清洁并接着将它们排出。如上所述,重复喷入和清洁源气体以及喷入和清洁反应气体的过程使薄膜沉积在基体107上。
但是,使用这样一种常规化学气相沉积设备的技术存在沉积速率很低的缺点,并且当其应用于半导体的大规模生产中时会增加半导体的制造成本。
图1B是表示一种能够顺序地供给处理气体的常规等离子体化学气相沉积设备的一个示意图,并且该设备能够克服图1A中所示的化学气相沉积设备的缺点。即,如图1B中所示,该常规等离子体化学气相沉积设备具有这样的结构,喷头106设有能够与外部RF电源110相连的RF电源连接部分109,用于使喷头106和已与喷头106相连的RF电源110电绝缘的绝缘部分111安装在喷头106上,从而直接在腔室101内产生等离子体。
即,尽管图1B中所示的等离子体化学气相沉积设备具有常规的处理气体顺序供给系统,在所述常规的处理气体顺序供给系统中以与图1A中所示的化学气相沉积设备相同的方式重复喷入和清洁源气体以及喷入和清洁反应气体的过程,但是该等离子体化学气相沉积设备能够在喷入反应气体后直接在腔室101内产生等离子体并且使反应气体的等离子体与吸附在基体107中的源气体进行反应,从而能够在较低的温度下提供较高的反应速率。
图1B中所示的直接式等离子体产生系统与图1A中所示的系统相比,能够在相对较低的温度下略微提高沉积速率。但是,它所存在的缺点是,形成在基体上的基体元件和电路元件可能会由于在等离子体产生的开始阶段所产生的电弧、离子轰击和离子注入而受损,这样会降低生产率。
图1C表示一种能够顺序地供给处理气体并使用外部等离子体产生设备的常规化学气相沉积设备的结构元件的一个示意图。在一段预定的时间内通过喷头106喷入源气体后,利用清洁气体对残留在喷头106和腔室101中的源气体得到清洁并通过形成在腔室101一侧上的出口100将它们排出。在对源气体进行这样的清洁后,通过外部等离子体产生设备112将反应气体的等离子体直接喷射到腔室101中并喷入反应气体。接着,利用清洁气体对反应气体以及反应中所产生的气体副产物进行清洁并接着将它们排出。
在使用具有外部等离子体产生设备的化学气相沉积设备的情况下,能够略微减少由于等离子体而对形成在基体上的基体元件和电路元件造成的损害。但是,它所存在的缺点是,由于直接引入到腔室中的等离子体的不均匀性而不能使薄膜均匀地沉积在具有较大面积的基体上。
发明内容
本发明的一个目的是,提供一种使用反应气体的游离基(radical)同时通过在喷头内产生等离子体或者利用喷头将外部等离子体喷射到腔室中顺序地供给处理气体的化学气相沉积设备,从而避免使形成在基体上的基体元件和电路元件受损,甚至在低温下也能够保证较快的沉积速率并且沉积具有极好的分层式覆层和薄膜质量特性的薄膜。
本发明的另一个目的是,提供一种化学气相沉积设备,该设备通过以预定间隔将喷头隔开并将喷头分成两个部分以将引入并喷入源气体的第一部分与引入和喷入反应气体的第二部分分开,从而能够有效地防止源气体和反应气体在喷头内相互混合。
为了达到上述目的,根据本发明的第一实施例,本发明提供一种化学气相沉积设备,该设备包括下部设有出口的腔室;分别用于将处理气体供给到所述腔室中的源气体导管、反应气体导管和清洁气体导管;具有多个喷射孔的喷头,所述喷射孔用于喷射由导管所供给的处理气体;以及用于支撑晶片或基体并用作加热源的加热器,薄膜沉积于所述晶片或基体上,所述设备的特征在于,可与外部RF电源相连的RF电源连接部分安装在所述腔室的上部;RF电极板安装在所述腔室内,所述RF电极板以预定的间隔与所述腔室的内上表面隔开并且以预定的间隔与设置在所述RF电极板下方的喷头隔开;利用RF杆将RF电源连接部分与RF电极板连接在一起以使电能可从外部RF电源供给到RF电极板上;利用由外部RF电源供给到RF电极板上的电能使等离子体产生在由RF电极板和喷头之间的间隙所限定的缓冲部分中;通过设置在缓冲部分下方的喷头将因此所产生的反应气体的游离基喷射到所述晶片或基体上。
另外,根据本发明的第二实施例,可与外部RF电源相连的RF电源连接部分安装在所述腔室的上部;RF电极板安装在所述腔室内,所述RF电极板以预定的间隔与所述腔室的内上表面隔开并且以预定的间隔与设置在所述RF电极板下方的喷头的上表面隔开;利用RF杆将RF电源连接部分与RF电极板连接在一起以使电能可从外部RF电源供给到RF电极板上;利用由外部RF电源供给到RF电极板上的电能使等离子体产生在由RF电极板和喷头的上表面之间的间隙所限定的第一缓冲部分中;喷头在垂直方向上被分成两个部分并且所述两个部分之间的间隔限定了第二缓冲部分;反应气体被供给到产生有等离子体的第一缓冲部分并接着通过喷头被喷射到晶片或基体上;以及源气体被供给到第二缓冲部分并接着通过喷头被喷射到晶片或基体上。
另外,根据本发明的第三实施例,外部等离子体产生设备通过等离子体导管与所述腔室的上面相连以与所述腔室相通;缓冲部分形成在喷头的上方,所述喷头设置在腔室的内上表面的下方并且以预定间隙与腔室的内上表面隔开;产生在外部等离子体产生设备中的等离子体通过等离子体导管被引入到缓冲部分中;以及通过设置在缓冲部分下方的喷头将因此所产生的反应气体的游离基喷射到所述晶片或基体上。
另外,根据本发明的第四实施例,外部等离子体产生设备通过等离子体导管与所述腔室的上面相连以与所述腔室相通;第一缓冲部分形成在喷头的上方,所述喷头设置在腔室的内上表面的下方并且以预定间隙与腔室的内上表面隔开;喷头在垂直方向上被分成两个部分并且所述两个部分之间的间隔限定了第二缓冲部分;反应气体和产生在外部等离子体产生设备中的等离子体被供给到第一缓冲部分并接着通过喷头被喷射到晶片或基体上;以及源气体被供给到第二缓冲部分并接着通过喷头被喷射到晶片或基体上。
另外,根据本发明的第五实施例,可与外部RF电源相连的RF电源连接部分安装在所述腔室的上部;第一缓冲部分形成在喷头的上方,所述喷头设置在腔室的内上表面的下方并且以预定间隙与腔室的内上表面隔开;利用RF杆将RF电源连接部分与喷头的上表面连接在一起以使电能可从外部RF电源供给到喷头上;喷头在垂直方向上被分成两个部分并且所述两个部分之间的间隔限定了第二缓冲部分;利用由外部RF电源供给到喷头上的电能使等离子体产生在第二缓冲部分中并接着通过喷头被喷射到晶片或基体上;以及源气体被供给到第一缓冲部分并接着通过喷头被喷射到晶片或基体上。
附图说明
从下面结合附图对本发明的优选实施例的描述中能够更容易地看出本发明的上述和其它目的、特征和优点,在附图中:
图1A是表示一种能够顺序地供给处理气体的常规化学气相沉积设备的结构元件的一个示意图;
图1B是表示一种能够顺序地供给处理气体的常规等离子体化学气相沉积设备的结构元件的一个示意图;
图1C表示一种能够顺序地供给处理气体并使用外部等离子体产生设备的常规等离子体化学气相沉积设备的结构元件的一个示意图;
图2A是表示本发明第一实施例所涉及的一种能够顺序地供给处理气体的游离基辅助(radical assisted)化学气相沉积设备的结构元件的一个示意图;
图2B是表示一种利用在如图2A中所示的化学气相沉积设备的喷头内产生等离子体顺序地供给处理气体和反应气体的游离基的方法的图表;
图2C是表示一种利用在如图2A中所示的化学气相沉积设备的喷头内产生等离子体顺序地供给处理气体和游离基的方法的图表,其中清洁气体处于始终被供给的状态;
图3A是表示本发明第二实施例所涉及的一种能够顺序地供给处理气体并且在以预定间隔分隔的喷头的上部中产生等离子体的游离基辅助(radical assisted)化学气相沉积设备的结构元件的一个示意图;
图3B是表示作为图3A中所示化学气相沉积设备的一个重要部分的喷头的后表面的一个后视图;
图4是表示本发明第三实施例所涉及的一种能够顺序地供给处理气体并使用外部等离子体产生设备的化学气相沉积设备的结构元件的一个示意图;
图5是表示本发明第四实施例所涉及的一种能够顺序地供给处理气体并使用外部等离子体产生设备以使等离子体被引入到以预定间隔分隔的喷头的上部中的游离基辅助(radical assisted)化学气相沉积设备的结构元件的一个示意图;
图6A是表示本发明第五实施例所涉及的一种能够顺序地供给处理气体并且在以预定间隔分隔的喷头的下部中产生等离子体的游离基辅助(radical assisted)化学气相沉积设备的结构元件的一个示意图;
图6B是表示作为图6A中所示化学气相沉积设备的一个重要部分的喷头的后表面的一个后视图;
图7和图8是表示在本发明所涉及的化学气相沉积设备中顺序地供给处理气体和反应气体的游离基的方法的图表;
图9和图10是表示在本发明所涉及的化学气相沉积设备中顺序地供给处理气体和反应气体的游离基、同时能够使等离子体保持更稳定的状态的方法的图表;
图11是表示在本发明所涉及的化学气相沉积设备中顺序地供给处理气体和反应气体的游离基、同时在供给难以在低温下被沉积的源气体和反应气体后能够使腔室保持更稳定的压力的方法的图表;以及
图12是表示在本发明所涉及的化学气相沉积设备中顺序地供给处理气体和反应气体的游离基、同时在供给难以在等离子体低能态下和在低温下被沉积的源气体和反应气体后能够使腔室保持更稳定的压力和使等离子体保持更稳定的状态的方法的图表。
具体实施方式
下面将参照附图对本发明优选实施例所涉及的化学气相沉积设备进行详细的描述。
<第一实施例>
图2A是表示本发明第一实施例所涉及的一种能够顺序地供给处理气体的游离基辅助(radical assisted)化学气相沉积设备的结构元件的一个示意图。
从该图中可以看出,本发明所涉及的这种化学气相沉积设备具有这样的结构,即,利用包括喷入和清洁源气体以及喷入和清洁反应气体步骤的顺序供给处理气体的方法形成薄膜,其中反应气体是在这样的一个状态下被喷入的,即在将它们喷入后在喷头内产生等离子体。
如图2A中所示,在本发明所涉及的这种化学气相沉积设备中,顶板212安装在腔室201的顶部上并构成了腔室201的一部分,可与外部RF电源210相连的RF电源连接部分209安装在顶板212的一侧上。RF电源连接部分209与RF杆211相连,并且利用形成在RF杆211周围的RF杆绝缘部分213使RF杆211与顶板212电绝缘。
RF杆211与安装在顶板212内侧的RF电极板214相连,并且利用该RF电极板214能够在喷头206内产生等离子体。此时,为了使RF电极板214电绝缘,将上绝缘部分215安装在RF电极板214上方,即安装在RF电极板214和顶板212之间。另外,以这样一种方式将下绝缘部分216安装在RF电极板214的底部上,即,利用下绝缘部分216在RF电极板214和设置在RF电极板214下方的喷头206之间以预定间隙限定缓冲部分217。即,下绝缘部分216使RF电极板214与顶板212的内表面绝缘同时以预定的间隙与设置在RF电极板214下方的喷头206隔开。
喷头206安装在具有由下绝缘部分216所限定的预定间隙的缓冲部分下方,并且喷头206形成有多个用于均匀地喷射处理气体的喷射孔205。另外,喷头206通过与顶板212的连接而被接地。在本发明所涉及的具有上述结构的化学气相沉积设备中,等离子体产生在具有预定间隙的缓冲部分217中,所述预定间隙是由安装在顶板212上的RF电极板214、下绝缘部分216和喷头206限定的。
在腔室201内的加热器208支撑晶片或基体207(下面,称为“基体”)同时为基体提供预定的热量,薄膜实际形成在所述基体上,腔室201设置在顶板212的下方并且被供给的处理气体在所述腔室中相互反应。
至少一个源气体导管202、至少一个反应气体导管203和至少一个清洁气体导管204形成在顶板212的外侧并与顶板212的内部相通。另外用于使顶板212保持恒温的加热器218埋设在顶板212中。即,利用加热器218能够使腔室201顶部周围的温度保持恒定。
下面将对利用具有上述结构的本发明所涉及的化学气相沉积设备在基体上形成薄膜的方法进行详细的描述。
在一段预定时间内通过形成在顶板212外侧的至少一个源气体导管202导入源气体,并且通过喷头206喷入源气体以使源气体被吸附在基体207上。在一段预定时间内以这样喷入源气体后,在一段预定时间内利用通过安装在顶板212上侧的至少一个清洁气体导管204导入的清洁气体对残留在喷头106和腔室201中的源气体进行清洁,并且通过安装腔室201一侧上的出口200将它们排出。
接着,在一段预定时间内利用喷头106将通过安装在顶板212外侧的至少一个反应气体导管203导入的反应气体喷射到基体207上,以使被喷入的反应气体与吸附在基体207上的源气体进行还原反应并在基体207上形成薄膜。此时,通过将电能从RF电源210供给到RF电极板214上以在缓冲部分217内产生等离子体以及通过喷头206喷入反应气体的游离基使被喷入的反应气体和吸附在基体207上的源气体之间的反应得到进一步激化。
在一段预定时间内喷入反应气体的游离基后,在一段预定时间内利用通过清洁气体导管204导入的清洁气体对残留在喷头106和腔室201中的反应气体进行清洁并将它们排出。这样,本发明通过重复包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序供给处理气体的过程能够使薄膜形成在晶片或基体上。
这样,本发明所涉及的化学气相沉积设备能够利用产生在缓冲部分217内的等离子体对反应气体和吸附在晶片或基体上的源气体之间的反应进行进一步的激化,从而可在较低的温度下保证较快的沉积速率并且在基体上形成具有极好的分层式覆层和薄膜质量特性的薄膜。另外,根据本发明所涉及的化学气相沉积设备,由于等离子体没有直接暴露在腔室和基体上,因此能够防止形成在基体上的基体元件和电路元件由于在等离子体产生过程中可能产生的电弧、离子轰击和离子注入而受到具体伤害。
图2B是表示一种利用包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序供给处理气体的方法同时在如图2A中所示的化学气相沉积设备的喷头内产生等离子体的图表。
如图2B(ii)中所示,首先,在预定的一段时间内通过喷头喷入清洁气体,从而在喷头和腔室中形成惰性气体氛围。接着,如图2B(i)中所示,在预定的一段时间内喷入源气体以使其能够被吸附在晶片或基体上。然后,如图2B(ii)中所示,在一段预定时间内利用清洁气体对残留在喷头和腔室中的源气体进行清洁并将它们排出。接着,如图2B(c)和图2(d)中所示,在一段预定时间内将反应气体导入喷头中,同时,在喷头中产生等离子体以使反应气体的游离基被喷射到晶片或基体上。在通过以这样一种方式在一段预定时间内喷入反应气体的游离基而使具有预定厚度的薄膜形成在晶片或基体上后,停止反应气体的喷射以及从RF电源向RF电极板供给电能以停止产生等离子体。然后,利用清洁气体对残留在喷头和腔室中的反应气体和反应中所产生的气体副产物进行清洁并将它们排出。从而,通过重复这样的过程能够在晶片或基体上形成具有预定厚度的薄膜。
图2C是表示一种以在顺序地供给处理气体和在如图2A中所示的化学气相沉积设备的喷头内产生等离子体的过程中仅顺序地供给源气体和反应气体但连续地供给清洁气体的方式来防止源气体和反应气体在喷头和腔室内混合的方法的图表。
如图2C中所示,当连续地供给清洁气体并且仅顺序地供给源气体和反应气体时,能够使腔室压力的变化达到最小,从而能够提高该方法的重复性。
<第二实施例>
图3A是表示本发明第二实施例所涉及的一种能够顺序地供给处理气体并且在以预定的方式被分隔的喷头的上部中产生等离子体的游离基辅助(radical assisted)化学气相沉积设备的结构元件的一个示意图。
从该图中可以看出,本发明所涉及的这种化学气相沉积设备具有这样的结构,即,利用包括喷入和清洁源气体以及喷入和清洁反应气体步骤的顺序供给处理气体的方法形成薄膜;通过将喷头分隔成两个部分以将引入并喷入源气体的第一部分与引入和喷入反应气体的第二部分分开可完全防止源气体和反应气体之间的混合;以及在喷入反应气体后,通过在喷头中的引入和喷入反应气体的部分内产生等离子体可喷射反应气体的游离基。
如图3A中所示,在本发明所涉及的这种化学气相沉积设备中,顶板301安装在腔室300的顶部上并构成了腔室300的一部分,可与外部RF电源302相连的RF电源连接部分303安装在顶板301的一侧上。RF电源连接部分303与RF杆304相连,并且利用形成在RF杆304周围的RF杆绝缘部分305使RF杆304与顶板301电绝缘。
RF杆304与安装在顶板301内侧的RF电极板306相连,并且利用该RF电极板306能够在喷头内产生等离子体。此时,为了使RF电极板306电绝缘,将上绝缘部分307安装在RF电极板306上方,即安装在RF电极板306和顶板301之间。另外,以这样一种方式将下绝缘部分308安装在RF电极板306的底部上,即,利用下绝缘部分308在RF电极板306和设置在RF电极板306下方的第一喷头309之间以预定间隙限定第一缓冲部分310。即,下绝缘部分308使RF电极板306与顶板301的内表面绝缘同时以预定的间隙与设置在RF电极板306下方的第一喷头309隔开。
第一喷头309安装在具有由下绝缘部分308所限定的预定间隙的缓冲部分下方,并且第一喷头309形成有多个用于均匀地喷射反应气体的游离基的游离基喷射管311。另外,第一喷头309通过与顶板301的连接而被接地。
在本发明所涉及的具有上述结构的化学气相沉积设备中,等离子体产生在具有预定间隙的第一缓冲部分310中,所述预定间隙是由安装在顶板301上的RF电极板306、下绝缘部分308和第一喷头309限定的。
另外,具有预定高度的底板312安装在第一喷头309的底部上,底板312构成了腔室300的一部分。第二喷头313安装在底板312的下侧。即,第一喷头309和第二喷头313是以在垂直方向上相互面对的方式被设置的并且具有由底板312在它们之间所限定的预定间隙。以这种方式设置的第二喷头313形成有多个通孔314和多个用于均匀地喷射源气体的源气体喷射孔315,形成在第一喷头309中的多个游离基喷射管311能够穿过所述多个通孔314。当通过将第一喷头309的游离基喷射管311安装到第二喷头313的通孔314中并且在第一喷头309和第二喷头313之间形成预定间隙而使具有上述结构的第一喷头309和第二喷头313被设置在适当位置时,限定了第二缓冲部分316。
另外,至少一个反应气体导管317和第一清洁气体导管318被安装在顶板301的外侧并使这些导管穿过所述顶板301。利用反应气体导管317和第一清洁气体导管318将反应气体和清洁气体供给到第一缓冲部分310。另外,至少一个源气体导管319和第二清洁气体导管320被安装在底板312的外侧并使这些导管穿过所述底板312。利用源气体导管319和第二清洁气体导管320将源气体和清洁气体供给到第二缓冲部分316。
在腔室300内的加热器323支撑晶片或基体322(下面,称为“基体”)同时为基体提供预定的热量,薄膜实际形成在所述基体上,腔室300设置在底板312的下方并且被供给的处理气体在所述腔室中相互反应。另外,用于使顶板301保持恒温的加热器321埋设在顶板301中。即,利用加热器321能够使腔室300顶部周围的温度保持恒定。
图3B是表示作为图3A中所示化学气相沉积设备的一个重要部分的喷头的后表面的一个后视图。多个游离基喷射管311的孔325和源气体喷射孔315是以一种网格的形式设置在第二喷头313的后表面上。
下面将对利用具有上述结构的本发明所涉及的化学气相沉积设备在基体上形成薄膜的方法进行详细的描述。
在一段预定时间内通过安装在底板312上的源气体导管319将源气体导入到第二缓冲部分316中并且通过形成在第二喷头313中的源气体喷射孔315喷入源气体以使源气体被吸附在基体322上。在一段预定时间内以这样的方式喷入源气体后,在一段预定时间内利用通过第二清洁气体导管320导入的清洁气体对残留在第二喷头313和腔室300中的源气体进行清洁并且通过安装腔室300一侧上的出口324将它们排出。在以这样的方式排出残留的源气体后,在一段预定时间内通过安装在顶板301上的反应气体导管317将反应气体供给到第一缓冲部分310并通过形成在第一喷头309中的游离基喷射管311将反应气体喷射到基体322上以使被喷入的反应气体与吸附在基体322上的源气体进行还原反应并在基体322上形成薄膜。此时,通过将电能从RF电源302供给到RF电极板306上以在第一缓冲部分310内产生等离子体以及通过安装在第二喷头313的通孔314中的游离基喷射管311喷入反应气体的游离基使被喷入的反应气体和吸附在基体322上的源气体之间的反应得到进一步激化。
在一段预定时间内喷入反应气体的游离基后,在一段预定时间内利用通过第一清洁气体导管318导入的清洁气体对残留在第一喷头309和腔室300中的反应气体进行清洁并将它们排出。这样,本发明通过分开地导入源气体和反应气体并重复包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序供给处理气体的过程能够使具有预定厚度的薄膜形成在晶片或基体上。
这样,本发明所涉及的化学气相沉积设备能够利用通过游离基喷射管311喷入的反应气体的游离基对反应气体和吸附在晶片或基体上的源气体之间的反应进行进一步的激化,从而可保证较快的沉积速率并且在基体上形成具有极好的分层式覆层和薄膜质量特性的薄膜。另外,根据本发明所涉及的化学气相沉积设备,由于将等离子体与喷入源气体的第二喷头313分开,因此能够完全防止即使通过利用清洁气体进行清洁的步骤仍然没有被完全去除的源气体在喷头内与反应气体的等离子体进行反应,从而避免颗粒的产生并且破坏分层式覆层。另外,根据本发明所涉及的化学气相沉积设备,由于等离子体没有直接暴露在腔室和基体上,因此能够防止形成在晶片或基体上的基体元件和电路元件由于在等离子体产生过程中可能产生的电弧、离子轰击和离子注入而受到具体伤害。
<第三实施例>
图4是表示本发明第三实施例所涉及的一种能够顺序地供给处理气体并使用外部等离子体产生设备的化学气相沉积设备的结构元件的一个示意图。
如该图中所示,本发明所涉及的这种化学气相沉积设备包括下部设有出口400的腔室401、其中形成有多个用于喷射处理气体的喷射孔405的喷头406以及用于支撑晶片或基体407(后面称为“基体”)并同时用作加热源的加热器408,利用通过喷头406喷入的处理气体能够使薄膜沉积在基体407上。
顶板409安装在腔室401的顶部上并构成了腔室401的一部分。外部等离子体产生设备410与腔室401相连并且在顶板409的外侧相互连通。至少一个反应气体导管403和至少一个清洁气体导管(第二清洁气体导管)404安装在外部等离子体产生设备410的一侧上并进入到外部等离子体产生设备410中,从而当等离子体产生在外部等离子体产生设备410内以后能够产生等离子体和反应气体的游离基。
在外部等离子体产生设备410中产生的等离子体和游离基通过所形成的并进入到顶板409的一部分中的等离子体导管411被引入到利用在顶板409和喷头406之间间隙所限定的缓冲部分412中。此时,由于在外部等离子体产生设备410中产生的等离子体和游离基当与导体碰撞时会由于产生重新结合的现象而停止产生,因此应该在等离子体导管411中安装绝缘部分413以防止等离子体和游离基的停止产生直至它们达到缓冲部分412。
另外,至少一个源气体导管402和至少一个清洁气体导管(第一清洁气体导管)414与在等离子体导管411一侧上的一个部分相通。另外,用于使顶板409保持恒温的加热器415埋设在顶板409中。利用加热器415能够使腔室401顶部周围的温度保持恒定。
即,本发明所涉及的化学气相沉积设备能够利用包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序供给处理气体的方法并通过利用导管和外部等离子体产生设备供给处理气体的方式在晶片或基体上形成具有预定厚度的薄膜。
下面将对利用具有上述结构的本发明所涉及的化学气相沉积设备在基体上形成薄膜的方法进行详细的描述。
首先,在预定的一段时间内通过安装在外部等离子体产生设备410的侧面上的第二清洁气体导管404喷头将清洁气体导入到由在顶板409内的预定间隙所限定的缓冲部分412和设置在缓冲部分412下方的喷头406,从而在喷头406和腔室401中形成惰性气体氛围。接着,在预定的一段时间内通过安装在等离子体导管411一侧上的一部分处并进入到等离子体导管411中的源气体导管引入源气体,以使其能够被吸附在基体407上。然后,在一段预定时间内利用通过安装在等离子体导管411一侧上的一部分处并进入到等离子体导管411中的第一清洁气体导管414供给清洁气体对残留在喷头406和腔室401中的源气体进行清洁,并将它们排出。
接着,在一段预定时间内通过安装在外部等离子体产生设备410一侧上的反应气体导管403将反应气体导入到外部等离子体产生设备410中。同时,在一段预定时间内在外部等离子体产生设备410中产生等离子体以使反应气体的等离子体通过等离子体导管411被引入到缓冲部分412中,并且通过喷头406将反应气体的游离基喷射到基体407上。在通过以这样一种方式在一段预定时间内喷入反应气体的游离基而使具有预定厚度的薄膜形成在基体407上后,停止反应气体的导入以及停止在外部等离子体产生设备410中产生等离子体。然后,利用通过第二清洁气体导管的清洁气体对残留在喷头406和腔室401中的反应气体和反应中所产生的气体副产物进行清洁并将它们排出。从而,通过重复这样的过程能够在晶片或基体上形成具有预定厚度的薄膜。
<第四实施例>
图5是表示本发明第四实施例所涉及的一种能够顺序地供给处理气体并使用外部等离子体产生设备以使等离子体被引入到以预定形式被分隔的喷头的上部中的游离基辅助(radical assisted)化学气相沉积设备的结构元件的一个示意图。
如该图中所示,在本发明所涉及的化学气相沉积设备中,顶板501安装在腔室500的顶部上并构成了腔室500的一部分。外部等离子体产生设备503与腔室500相连并且在顶板501的外侧相互连通。至少一个反应气体导管517和第一清洁气体导管518安装在外部等离子体产生设备503的一侧上并进入到外部等离子体产生设备513中,从而当等离子体产生在外部等离子体产生设备503内以后能够产生等离子体和反应气体的游离基。
在外部等离子体产生设备503中产生的等离子体和游离基通过所形成的并进入到顶板501的一部分中的等离子体导管504被引入到利用在顶板501和第一喷头509之间间隙所限定的缓冲部分510中。此时,由于在外部等离子体产生设备503中产生的等离子体和游离基当与导体碰撞时会由于产生重新结合的现象而停止产生,因此应该在等离子体导管504中安装绝缘部分505以防止等离子体和游离基的停止产生直至它们达到缓冲部分510。
第一喷头509安装在第一缓冲部分510下方并且形成有多个用于均匀地喷射反应气体的游离基的游离基喷射管511。
另外,具有预定高度的底板512安装在第一喷头509的底部上,底板512构成了腔室500的一部分。第二喷头513安装在底板512的下侧。即,第一喷头509和第二喷头513是以在垂直方向上相互面对的方式被设置的并且具有由底板512在它们之间所限定的预定间隙。以这种方式设置的第二喷头513形成有多个通孔514和多个用于均匀地喷射源气体的源气体喷射孔515,形成在第一喷头509中的多个游离基喷射管511能够穿过所述多个通孔514。当通过将第一喷头509的游离基喷射管511安装到第二喷头513的通孔514中并且在第一喷头509和第二喷头513之间形成预定间隙而使具有上述结构的第一喷头509和第二喷头513被设置在适当位置时,限定了第二缓冲部分516。另外,第二喷头513通过与底板512的连接而被接地。
另外,至少一个源气体导管519和第二清洁气体导管520被安装在底板512的外侧并使这些导管穿过所述底板512。利用源气体导管519和第二清洁气体导管520将源气体和清洁气体供给到第二缓冲部分516。
在腔室500内的加热器523支撑晶片或基体522(下面,称为“基体”)同时为基体提供预定的热量,薄膜实际形成在所述基体上,腔室500设置在底板512的下方并且被供给的处理气体在所述腔室中相互反应。另外,用于使顶板501保持恒温的加热器521埋设在顶板501中。即,利用加热器521能够使腔室500顶部周围的温度保持恒定。
下面将对利用具有上述结构的本发明所涉及的化学气相沉积设备在基体上形成薄膜的方法进行详细的描述。
在一段预定时间内通过安装在底板512上的源气体导管519将源气体导入到第二缓冲部分516中并且通过形成在第二喷头513中的源气体喷射孔515喷入源气体以使源气体被吸附在基体522上。在一段预定时间内以这样的方式喷入源气体后,在一段预定时间内利用通过第二清洁气体导管520导入的清洁气体对残留在第二喷头513和腔室500中的源气体进行清洁并且通过安装腔室500一侧上的出口524将它们排出。
接着,在一段预定时间内通过安装在外部等离子体产生设备503一侧上的反应气体导管517将反应气体导入到外部等离子体产生设备503中。同时,在一段预定时间内在外部等离子体产生设备503中产生等离子体以使反应气体的等离子体通过等离子体导管504被引入到第一缓冲部分510中并且通过第一喷头509将反应气体的游离基喷射到基体522上。
在一段预定时间内喷入反应气体的游离基后,在一段预定时间内利用通过第一清洁气体导管518导入的清洁气体对残留在第一喷头509和腔室500中的反应气体进行清洁并将它们排出。这样,本发明通过分开地导入源气体和反应气体并重复包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序供给处理气体的过程能够使具有预定厚度的薄膜形成在晶片或基体上。
<第五实施例>
图6A是表示本发明第五实施例所涉及的一种能够顺序地供给处理气体并且在以预定间隔分隔的喷头的下部中产生等离子体的游离基辅助(radical assisted)化学气相沉积设备的结构元件的一个示意图。
如该图中所示,在本发明所涉及的这种化学气相沉积设备中,顶板601安装在腔室600的顶部上并构成了腔室600的一部分,可与外部RF电源602相连的RF电源连接部分603安装在顶板601的一侧上。RF电源连接部分603与RF杆604相连,并且利用形成在RF杆604周围的RF杆绝缘部分605使RF杆604与顶板601电绝缘。
RF杆604与安装在顶板601内侧的第一喷头609相连。此时,为了使第一喷头609与顶板601电绝缘,以预定的间隙将上绝缘部分607安装在第一喷头609的上方以顶板601的内表面紧密接触。通过以这样的方式设置第一喷头609和上绝缘部分607,限定了具有预定间隙的第一缓冲部分610。
另外,具有预定高度的下绝缘部分608安装在第一喷头309的底部上,下绝缘部分608构成了腔室600的一部分。底板612安装在下绝缘部分608的外侧。接着,使第二喷头613被安装在下绝缘部分608和底板612的下方以使第一喷头309和第二喷头313以相互面对的方式被设置并且在它们之间具有预定的间隙。这样,具有预定间隙的第二缓冲部分616被第一喷头609和第二喷头613限定。此时,第二喷头613通过与底板612的连接而被接地。
在本发明所涉及的具有上述结构的化学气相沉积设备中,等离子体产生在具有预定间隙的第二缓冲部分616中,所述预定间隙是由第一喷头609、下绝缘部分608和第二喷头613限定的。
具有多个用于均匀地分配被导入的源气体的喷射孔的中间板606被安装在第一缓冲部分610中。利用设置在中间板606下方的支承销626将中间板606固定在第一喷头609上。另外,第一喷头609形成有多个用于均匀地喷射源气体的源气体喷射管611。
第二喷头613形成有多个通孔614,形成在第一喷头609中的源气体喷射管611能够穿过所述多个通孔614。第二喷头613还形成有多个用于均匀地喷射在第二缓冲部分616中由等离子体所产生的反应气体的游离基的游离基喷射孔615。当通过将第一喷头609的源气体喷射管611安装到第二喷头613的通孔614中并且在第一喷头609和第二喷头613之间形成预定间隙而使具有上述结构的第一喷头609和第二喷头613被设置在适当位置时,限定了第二缓冲部分616。
另外,至少一个源气体导管619和第一清洁气体导管618被安装在顶板601的外侧并使这些导管穿过所述顶板601。利用源气体导管619和第一清洁气体导管618将反应气体和清洁气体供给到第一缓冲部分610。另外,至少一个反应气体导管617和第二清洁气体导管620被安装在顶板601的另一个外侧并使这些导管穿过所述顶板601。已经通过以这样的方式被安装的反应气体导管617和第二清洁气体导管620导入的反应气体和清洁气体通过形成在第一喷头609中的圆形反应气体缓冲部分627被分配,接着通过反应气体分配孔628被导向反应气体入口629。已经通过反应气体入口629被导入的反应气体和清洁气体流到第二缓冲部分616中。
在腔室600内的加热器623支撑晶片或基体622(下面,称为“基体”)同时为基体提供预定的热量,薄膜实际形成在所述基体上,腔室600设置在底板612的下方并且被供给的处理气体在所述腔室中相互反应。另外,用于使顶板601保持恒温的加热器621埋设在顶板601中。即,利用加热器621能够使腔室600顶部周围的温度保持恒定。
图6B是表示作为图6A中所示化学气相沉积设备的一个重要部分的喷头的后表面的一个后视图。多个源气体喷射管611的孔625和游离基喷射孔615是以一种网格的形式设置在第二喷头613的后表面上。
下面将对利用具有上述结构的本发明所涉及的化学气相沉积设备在基体上形成薄膜的方法进行详细的描述。
在一段预定时间内通过安装在顶板601上的源气体导管619将源气体导入到第一缓冲部分610中并且通过形成在第一喷头609中的源气体喷射管孔611喷入源气体以使源气体被吸附在基体622上。在一段预定时间内以这样的方式喷入源气体后,在一段预定时间内利用通过第一清洁气体导管618导入的清洁气体对残留在第一喷头609和腔室600中的源气体进行清洁并且通过安装腔室600一侧上的出口624将它们排出。在以这样的方式排出残留的源气体后,在一段预定时间内通过安装在顶板601上的反应气体导管617将反应气体供给到第二缓冲部分616并通过形成在第二喷头613中的游离基喷射孔615将反应气体喷射到基体622上以使被喷入的反应气体与吸附在基体622上的源气体进行还原反应并在基体622上形成薄膜。此时,通过将电能从RF电源602供给到第一喷头609上以在第二缓冲部分616内产生等离子体以及通过安装在第二喷头613的游离基喷射孔615喷入反应气体的游离基使被喷入的反应气体和吸附在基体622上的源气体之间的反应得到进一步激化。
在一段预定时间内喷入反应气体的游离基后,在一段预定时间内利用通过第二清洁气体导管620导入的清洁气体对残留在第二喷头613和腔室600中的反应气体进行清洁并将它们排出。这样,本发明通过分开地导入源气体和反应气体并重复包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序供给处理气体的过程能够使具有预定厚度的薄膜形成在晶片或基体上。
下面将对在具有上述结构的本发明第二至第五实施例所涉及的化学气相沉积设备中顺序供给处理气体和游离基的方法进行详细描述。
图7和图8是表示在本发明所涉及的化学气相沉积设备中包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序供给处理气体的方法的图表。
如图7中所示,在预定的一段时间内通过第一和第二喷头喷入清洁气体,从而在喷头和腔室中形成惰性气体氛围。接着,在预定的一段时间内喷入源气体以使其能够被吸附在晶片或基体上。然后,在一段预定时间内利用清洁气体对残留在喷头和腔室中的源气体进行清洁并将它们排出,接着,在一段预定时间内将反应气体导入喷头中,同时,在喷头中产生等离子体以使反应气体的游离基被喷射到晶片或基体上。
在在一段预定时间内喷入反应气体的游离基而使具有预定厚度的薄膜形成在晶片或基体上后,停止反应气体的喷射以及从RF电源(或外部等离子体产生设备的电源)供给电能以停止产生等离子体。然后,利用清洁气体对残留在喷头和腔室中的反应气体和反应中所产生的气体副产物进行清洁并将它们排出。从而,通过重复这样的过程能够在晶片或基体上形成具有预定厚度的薄膜。此时,如图8中所示,通过连续地导入第一和第二清洁气体能够使清洁源气体和反应气体所需时间达到最少。另外,通过使腔室的压力的变化达到最小能够提高该方法的重复性。
图9和图10是表示在本发明所涉及的化学气相沉积设备中包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序供给处理气体同时能够使等离子体保持更稳定的状态的方法的图表。
如图9中所示,尽管在喷头中产生等离子体的状态是通过从低压RF电源(或外部等离子体产生设备的低压电源)供给的电能来保持的,但是等离子体处于一种能量很低的状态下。这样,等离子体被控制并且由于与游离基喷射孔的内壁之间的碰撞所产生的重新结合现象而会使等离子体的产生停止,因此游离基会受到控制而不会被导入到腔室中。利用这样一种能够使等离子体态保持在喷头中并且不将游离基导入到腔室中的方式在顺序供给反应气体的游离基后能够保持更稳定的等离子体态,同时不会影响反应过程。另外,如图10中所示,通过连续地导入第一和第二清洁气体能够使清洁源气体和反应气体所需时间达到最少。另外,通过使腔室的压力的变化达到最小能够提高该方法的重复性。
图11是表示在本发明所涉及的化学气相沉积设备中包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序地供给处理气体和反应气体的游离基同时在供给源气体和反应气体过程中能够使腔室保持更稳定的压力的方法的图表。
如图11中所示,能够在源气体不会与反应气体进行反应的低温处理的过程中通过连续地导入反应气体使腔室压力的变化达到最小。这样,可进一步提高该方法的重复性。
在确定能够顺序地供给处理气体的化学气相沉积设备的沉积速率中的一个重要特征是源气体相对于晶片或基体的吸附比率。为了提高源气体的吸附比率,甚至在没有产生等离子体的状态下也供给反应气体。这样,可提高源气体的吸附比率。可通过利用反应气体和吸附在晶片和基体上的源气体停止供给源气体和清洁源气体、产生等离子体以及接着利用反应气体的游离基形成薄膜的方法来提高薄膜的沉积速率。
图12是表示在本发明所涉及的化学气相沉积设备中包括喷入和清洁源气体、喷入反应气体的游离基以及清洁反应气体步骤的顺序地供给处理气体和反应气体的游离基同时在供给通常不会在较低的温度下和等离子体低能态下被沉积的源气体和反应气体的过程中能够使腔室保持更稳定的压力和使等离子体保持更稳定的状态的方法的图表。
如图12中所示,在源气体不会在低温下与反应气体进行反应的过程中,以及在喷头中产生等离子体的状态是通过从低压RF电源(或外部等离子体产生设备的低压电源)供给的电能来保持的过程中,连续地导入反应气体。但是,等离子体处于一种能量很低的状态。这样,等离子体被控制并且由于与游离基喷射孔的内壁之间的碰撞所产生的重新结合现象而会使等离子体的产生停止。这样,反应气体的游离基不会被导入到腔室中。即使在连续导入反应气体和利用低压电源在喷头中产生等离子体的状态下,在顺序供给处理气体后也可更稳定地保持腔室压力和等离子体态并且不会影响反应过程。
如上面详细描述的,本发明所涉及的化学气相沉积设备可对低沉积速率进行改进,低沉积速率是能够顺序地供给处理气体的常规化学气相沉积设备的一个问题,并且能够防止出现诸如等离子体电弧的产生、离子轰击、离子注入等问题。另外,它能够防止导入可能包含在利用化学气相沉积方法所沉积的薄膜中的大量微粒或杂质(诸如C、H、Cl、Br、I和O)。
另外,根据本发明所涉及的化学气相沉积设备,它所具有的一个优点是,可通过低温处理来形成高质量的薄膜,从而可提高该方法的重复性。它所具有的另一个优点是,可保证极好的分层式覆层的特性以及高的沉积速率,从而能够提高产品的生产率。
尽管前面已经参照附图对本发明所涉及的化学气相沉积设备的技术细节进行了描述,但是它们仅是本发明的优选实施例并不是对本发明的限定。
另外,对于本领域普通技术人员来说,在不脱离本发明的保护范围的基础上对本发明进行各种改进和变型是显而易见的。

Claims (32)

1.一种化学气相沉积设备,该设备包括下部设有出口的腔室;分别用于将处理气体供给到所述腔室中的源气体导管、反应气体导管和清洁气体导管;具有多个喷射孔的喷头,所述喷射孔用于喷射由导管所供给的处理气体;以及用于支撑晶片或基体并用作预定的加热源的加热器,薄膜沉积于所述晶片或基体上,所述设备的特征在于:
可与外部RF电源相连的RF电源连接部分安装在所述腔室的上部;
RF电极板安装在所述腔室内,所述RF电极板以预定的间隔与所述腔室的内上表面隔开并且以预定的间隔与设置在所述RF电极板下方的喷头隔开;
利用RF杆将RF电源连接部分与RF电极板连接在一起以使电能可从外部RF电源供给到RF电极板上;
利用由外部RF电源供给到RF电极板上的电能使等离子体产生在由RF电极板和喷头之间的间隙所限定的缓冲部分中;以及
通过设置在缓冲部分下方的喷头将因此所产生的反应气体的游离基喷射到所述晶片或基体上。
2.如权利要求1所述的化学气相沉积设备,其特征在于,上绝缘部分安装在所述腔室的内上表面和RF电极板之间。
3.如权利要求1所述的化学气相沉积设备,其特征在于,所述缓冲部分是由用于使RF电极板与腔室的内表面绝缘并且将RF电极板与喷头隔开的下绝缘部分限定的。
4.如权利要求1所述的化学气相沉积设备,其特征在于,利用形成在RF杆周围的RF杆绝缘部分使RF杆与所述腔室电绝缘。
5.如权利要求1所述的化学气相沉积设备,其特征在于,所述喷头与所述腔室相连,从而使所述喷头被接地。
6.如权利要求1所述的化学气相沉积设备,其特征在于,用于使所述腔室的顶部保持恒温的加热器被安装在所述腔室的顶部上。
7.一种化学气相沉积设备,该设备包括下部设有出口的腔室;分别用于将处理气体供给到所述腔室中的源气体导管、反应气体导管和清洁气体导管;具有多个喷射孔的喷头,所述喷射孔用于喷射由导管所供给的处理气体;以及用于支撑晶片或基体并用作加热源的加热器,薄膜沉积于所述晶片或基体上,所述设备的特征在于:
可与外部RF电源相连的RF电源连接部分安装在所述腔室的上部;
RF电极板安装在所述腔室内,所述RF电极板以预定的间隔与所述腔室的内上表面隔开,并且以预定的间隔与设置在所述RF电极板下方的喷头的上表面隔开;
利用RF杆将RF电源连接部分与RF电极板连接在一起以使电能可从外部RF电源供给到RF电极板上;
利用由外部RF电源供给到RF电极板上的电能使等离子体产生在由RF电极板和喷头的上表面之间的间隙所限定的第一缓冲部分中;
喷头在垂直方向上被分成两个部分,并且所述两个部分之间的间隔限定了第二缓冲部分;
反应气体被供给到产生有等离子体的第一缓冲部分,并接着通过喷头被喷射到晶片或基体上;以及
源气体被供给到第二缓冲部分,并接着通过喷头被喷射到晶片或基体上。
8.如权利要求7所述的化学气相沉积设备,其特征在于,喷头包括具有多个用于均匀地喷射反应气体和其游离基的喷射管的第一喷头,以及具有多个通孔和多个用于均匀地喷射源气体的喷射孔的第二喷头,多个喷射管穿过所述通孔;所述第一喷头的喷射管安装在所述第二喷头的通孔中。
9.如权利要求7所述的化学气相沉积设备,其特征在于,至少一个反应气体导管和至少一个清洁气体导管安装在所述第一缓冲部分的一侧并且与所述第一缓冲部分相互连通,至少一个源气体导管和至少一个清洁气体导管安装在所述第二缓冲部分的一侧并且与第二缓冲部分相互连通。
10.如权利要求8所述的化学气相沉积设备,其特征在于,所述第一缓冲部分是由用于使RF电极板与腔室的内表面绝缘并且将RF电极板与第一喷头隔开的下绝缘部分限定的。
11.如权利要求8所述的化学气相沉积设备,其特征在于,所述第一喷头与所述腔室相连,从而使所述第一喷头被接地。
12.如权利要求7所述的化学气相沉积设备,其特征在于,上绝缘部分安装在所述腔室的内上表面和RF电极板之间。
13.如权利要求7所述的化学气相沉积设备,其特征在于,利用形成在RF杆周围的RF杆绝缘部分使RF杆与所述腔室电绝缘。
14.如权利要求8所述的化学气相沉积设备,其特征在于,所述导管喷射管和喷射孔是以网格的形式设置的。
15.如权利要求7所述的化学气相沉积设备,其特征在于,用于使所述腔室的顶部保持恒温的加热器被安装在所述腔室的顶部上。
16.一种化学气相沉积设备,该设备包括下部设有出口的腔室;分别用于将处理气体供给到所述腔室中的源气体导管、反应气体导管和清洁气体导管;具有多个喷射孔的喷头,所述喷射孔用于喷射由导管所供给的处理气体;以及用于支撑晶片或基体并用作加热源的加热器,薄膜沉积于所述晶片或基体上,所述设备的特征在于:
外部等离子体产生设备通过等离子体导管与所述腔室的上面相连从而与所述腔室相通;
缓冲部分形成在喷头的上方,所述喷头设置在腔室的内上表面的下方并且以预定间隙与腔室的内上表面隔开;
产生在外部等离子体产生设备中的等离子体通过等离子体导管被引入到缓冲部分中;以及
通过设置在缓冲部分下方的喷头将因此所产生的反应气体的游离基喷射到所述晶片或基体上。
17.如权利要求16所述的化学气相沉积设备,其特征在于,至少一个反应气体导管和至少一个清洁气体导管安装在所述外部等离子体产生设备的一侧并且与所述外部等离子体产生设备相互连通,至少一个源气体导管和至少一个清洁气体导管安装在所述等离子体导管的一侧并且与所述等离子体导管相互连通。
18.如权利要求16所述的化学气相沉积设备,其特征在于,绝缘部分安装在等离子体导管中以防止停止等离子体或游离基的产生直至它们到达缓冲部分。
19.如权利要求16所述的化学气相沉积设备,其特征在于,用于使所述腔室的顶部保持恒温的加热器被安装在所述腔室的顶部上。
20.一种化学气相沉积设备,该设备包括下部设有出口的腔室;分别用于将处理气体供给到所述腔室中的源气体导管、反应气体导管和清洁气体导管;具有多个喷射孔的喷头,所述喷射孔用于喷射由导管所供给的处理气体;以及用于支撑晶片或基体并用作加热源的加热器,薄膜沉积于所述晶片或基体上,所述设备的特征在于:
外部等离子体产生设备通过等离子体导管与所述腔室的上面相连从而与所述腔室相通;
第一缓冲部分形成在喷头的上方,所述喷头设置在腔室的内上表面的下方并且以预定间隙与腔室的内上表面隔开;
喷头在垂直方向上被分成两个部分并且所述两个部分之间的间隔限定了第二缓冲部分;
反应气体和产生在外部等离子体产生设备中的等离子体被供给到第一缓冲部分并接着通过喷头被喷射到晶片或基体上;以及
源气体被供给到第二缓冲部分并接着通过喷头被喷射到晶片或基体上。
21.如权利要求20所述的化学气相沉积设备,其特征在于,喷头包括具有多个用于均匀地喷射反应气体和其游离基的喷射管的第一喷头,以及具有多个通孔和多个用于均匀地喷射源气体的喷射孔的第二喷头,多个喷射管穿过所述通孔;所述第一喷头的喷射管安装在所述第二喷头的通孔中。
22.如权利要求20所述的化学气相沉积设备,其特征在于,至少一个反应气体导管和至少一个清洁气体导管安装在所述外部等离子体产生设备的一侧并且与所述外部等离子体产生设备相互连通,至少一个源气体导管和至少一个清洁气体导管安装在所述第二缓冲部分的一侧并且与所述第二缓冲部分相互连通。
23.如权利要求20所述的化学气相沉积设备,其特征在于,绝缘部分安装在等离子体导管中以防止停止等离子体或游离基的产生直至它们到达缓冲部分。
24.如权利要求21所述的化学气相沉积设备,其特征在于,所述导管喷射管和喷射孔是以网格的形式设置的。
25.如权利要求20所述的化学气相沉积设备,其特征在于,用于使所述腔室的顶部保持恒温的加热器被安装在所述腔室的顶部上。
26.一种化学气相沉积设备,该设备包括下部设有出口的腔室;分别用于将处理气体供给到所述腔室中的源气体导管、反应气体导管和清洁气体导管;具有多个喷射孔的喷头,所述喷射孔用于喷射由导管所供给的处理气体;以及用于支撑晶片或基体并用作加热源的加热器,薄膜沉积于所述晶片或基体上,所述设备的特征在于:
可与外部RF电源相连的RF电源连接部分安装在所述腔室的上部;
第一缓冲部分形成在喷头的上方,所述喷头设置在腔室的内上表面的下方并且以预定间隙与腔室的内上表面隔开;
利用RF杆将RF电源连接部分与喷头的上表面连接在一起以使电能可从外部RF电源供给到喷头上;
喷头在垂直方向上被分成两个部分并且所述两个部分之间的间隔限定了第二缓冲部分;
利用由外部RF电源供给到喷头上的电能使等离子体产生在第二缓冲部分中并接着通过喷头被喷射到晶片或基体上;以及
源气体被供给到第一缓冲部分并接着通过喷头被喷射到晶片或基体上。
27.如权利要求26所述的化学气相沉积设备,其特征在于,喷头包括具有多个用于均匀地喷射源气体的喷射管的第一喷头,以及具有多个通孔和多个用于均匀地喷射反应气体和其游离基的喷射孔的第二喷头,多个喷射管穿过所述通孔;所述第一喷头的喷射管安装在所述第二喷头的通孔中。
28.如权利要求26所述的化学气相沉积设备,其特征在于,至少一个源气体导管和至少一个清洁气体导管安装在所述第一缓冲部分的一侧并且与所述第一缓冲部分相互连通,至少一个反应气体导管和至少一个清洁气体导管安装在所述第二缓冲部分的一侧并且与第二缓冲部分相互连通。
29.如权利要求27所述的化学气相沉积设备,其特征在于,所述第二喷头与所述腔室相连,从而使所述第一喷头被接地。
30.如权利要求26所述的化学气相沉积设备,其特征在于,所述第一缓冲部分设有一个板,所述板具有多个用于均匀地分配被导入的源气体的喷射孔。
31.如权利要求27所述的化学气相沉积设备,其特征在于,所述导管喷射管和喷射孔是以网格的形式设置的。
32.如权利要求26所述的化学气相沉积设备,其特征在于,用于使所述腔室的顶部保持恒温的加热器被安装在所述腔室的顶部上。
CNB021074631A 2001-03-19 2002-03-19 化学气相沉积设备 Expired - Lifetime CN1302152C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2001-0013995A KR100423953B1 (ko) 2001-03-19 2001-03-19 화학기상증착장치
KR13996/2001 2001-03-19
KR10-2001-0013996A KR100423954B1 (ko) 2001-03-19 2001-03-19 화학기상증착방법
KR13995/2001 2001-03-19

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100745221A Division CN100540734C (zh) 2001-03-19 2002-03-19 化学气相沉积设备

Publications (2)

Publication Number Publication Date
CN1375575A true CN1375575A (zh) 2002-10-23
CN1302152C CN1302152C (zh) 2007-02-28

Family

ID=26638891

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB021074631A Expired - Lifetime CN1302152C (zh) 2001-03-19 2002-03-19 化学气相沉积设备

Country Status (3)

Country Link
US (2) US6886491B2 (zh)
JP (1) JP3924483B2 (zh)
CN (1) CN1302152C (zh)

Cited By (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100336165C (zh) * 2002-11-15 2007-09-05 三星电子株式会社 用于半导体处理系统的注气装置
CN100339505C (zh) * 2003-11-07 2007-09-26 株式会社岛津制作所 表面波激发等离子体cvd系统
CN100357487C (zh) * 2004-12-23 2007-12-26 中国科学院半导体研究所 金属有机物化学气相淀积设备的多层流反应室结构
CN100433262C (zh) * 2003-02-06 2008-11-12 株式会社Eugene科技 用于制造薄膜的化学汽相淀积设备的加热器
CN100519834C (zh) * 2003-08-06 2009-07-29 爱发科股份有限公司 薄膜制造装置以及制造方法
CN101151702B (zh) * 2005-04-05 2010-05-19 松下电器产业株式会社 用于等离子体处理设备的气体喷头盘
CN1648282B (zh) * 2004-01-27 2010-06-16 三星电子株式会社 等离子体增强的半导体淀积设备
CN101153385B (zh) * 2006-09-30 2010-06-23 中芯国际集成电路制造(上海)有限公司 等离子体增强化学气相淀积方法
US7767024B2 (en) 2004-02-26 2010-08-03 Appplied Materials, Inc. Method for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
CN1766157B (zh) * 2004-10-28 2010-08-25 周星工程股份有限公司 用于制作显示装置的设备
CN1576391B (zh) * 2003-06-25 2010-08-25 周星工程股份有限公司 用于半导体制造设备的气体喷射器
CN101834120A (zh) * 2009-03-10 2010-09-15 东京毅力科创株式会社 喷淋头和等离子体处理装置
CN101268213B (zh) * 2005-09-23 2010-12-08 弗兰霍菲尔运输应用研究公司 在常压下连续化学气相沉积的设备和方法及其用途
CN101476116B (zh) * 2005-01-20 2011-03-30 三星移动显示器株式会社 蒸镀装置及蒸镀方法
CN101525741B (zh) * 2008-03-07 2011-04-20 显示器生产服务株式会社 用于制造薄膜型太阳电池的沉积装置
CN101018884B (zh) * 2004-04-30 2011-06-08 兰姆研究公司 包含输送工艺气体和射频功率的气体分配单元的等离子处理设备
CN102108498A (zh) * 2009-12-25 2011-06-29 鸿富锦精密工业(深圳)有限公司 化学气相沉积设备
CN101040061B (zh) * 2004-10-11 2011-07-06 贝卡尔特先进涂层公司 细长的气体分配系统
CN101003895B (zh) * 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
CN102272902A (zh) * 2009-01-07 2011-12-07 朗姆研究公司 通过等离子体氧化处理的轮廓和cd均匀性控制
CN1806310B (zh) * 2003-06-16 2011-12-14 法国圣戈班玻璃厂 局部除去玻璃板涂层的方法及装置
CN102422390A (zh) * 2009-03-16 2012-04-18 奥塔装置公司 气相沉积反应器系统及其方法
CN102648512A (zh) * 2009-11-18 2012-08-22 圆益Ips股份有限公司 喷头组件和包括该喷头组件的薄膜沉积装置
CN102754190A (zh) * 2009-07-15 2012-10-24 应用材料公司 Cvd腔室的流体控制特征结构
CN102934203A (zh) * 2010-04-28 2013-02-13 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
US8608854B2 (en) 2009-12-24 2013-12-17 Hon Hai Precision Industry Co., Ltd. CVD device
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
CN101310036B (zh) * 2004-11-16 2014-08-06 应用材料公司 低温聚硅tft用的多层高质量栅介电层
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN1870851B (zh) * 2005-05-23 2014-08-27 新动力等离子体株式会社 具有放电感应电桥的等离子源及利用其的等离子处理系统
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN104561939A (zh) * 2015-01-12 2015-04-29 深圳清溢光电股份有限公司 超薄反应腔
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
CN105369347A (zh) * 2015-11-03 2016-03-02 电子科技大学 一种通过控制成核制备大面积石墨烯单晶的装置及方法
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN105493229A (zh) * 2013-08-19 2016-04-13 应用材料公司 用于杂质分层外延法的设备
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN107424896A (zh) * 2016-05-23 2017-12-01 Sti 有限公司 腔室清洁系统
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN110391120A (zh) * 2018-04-17 2019-10-29 北京北方华创微电子装备有限公司 一种喷头和等离子体处理腔室
CN114427084A (zh) * 2020-10-29 2022-05-03 中国科学院微电子研究所 一种等离子体增强化学气相沉积设备及其操作方法

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP3913723B2 (ja) * 2003-08-15 2007-05-09 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7910166B2 (en) * 2005-04-26 2011-03-22 First Solar, Inc. System and method for depositing a material on a substrate
US7927659B2 (en) * 2005-04-26 2011-04-19 First Solar, Inc. System and method for depositing a material on a substrate
US7931937B2 (en) * 2005-04-26 2011-04-26 First Solar, Inc. System and method for depositing a material on a substrate
US7968145B2 (en) 2005-04-26 2011-06-28 First Solar, Inc. System and method for depositing a material on a substrate
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2008032910A1 (en) * 2006-09-16 2008-03-20 Piezonics Co. Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR20080096771A (ko) 2007-02-09 2008-11-03 캐논 아네르바 가부시키가이샤 산화 처리 방법 및 산화 처리 장치
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
CN101451237B (zh) 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
WO2010050363A1 (ja) * 2008-10-28 2010-05-06 三菱電機株式会社 プラズマcvd装置、半導体膜の製造方法、薄膜太陽電池の製造方法およびプラズマcvd装置のクリーニング方法
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US20120100311A1 (en) * 2009-08-28 2012-04-26 Kyocera Corporation Apparatus for forming deposited film and method for forming deposited film
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR101415552B1 (ko) * 2009-12-21 2014-07-07 주식회사 미코 접지구조물, 이를 구비하는 히터 및 화학기상 증착장치
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
CN102214730A (zh) * 2010-04-12 2011-10-12 无锡尚德太阳能电力有限公司 沉积蒸发源
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
CN102373438A (zh) * 2010-08-10 2012-03-14 鸿富锦精密工业(深圳)有限公司 化学气相沉积设备
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
WO2012054206A2 (en) * 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
TWI427183B (zh) * 2010-11-25 2014-02-21 Ind Tech Res Inst 電漿處理裝置
CN102534551B (zh) * 2010-12-17 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备
DE112011104446B4 (de) 2010-12-20 2023-06-22 Samsung Electronics Co., Ltd. Chemische Gasphasenabscheidungs-Vorrichtung und Verfahren zum Herstellen von lichtemittierenden Vorrichtungen mit derselben
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
DE112011105041B4 (de) * 2011-03-15 2020-11-05 Toshiba Mitsubishi-Electric Industrial Systems Corporation Filmbildungsvorrichtung
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US20120318457A1 (en) * 2011-06-17 2012-12-20 Son Nguyen Materials and coatings for a showerhead in a processing system
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
WO2013188566A1 (en) 2012-06-12 2013-12-19 Snap-On Incorporated Auditing and forensics for automated tool control systems
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9416450B2 (en) 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
JP6026263B2 (ja) * 2012-12-20 2016-11-16 キヤノンアネルバ株式会社 プラズマcvd装置、真空処理装置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9738976B2 (en) * 2013-02-27 2017-08-22 Ioxus, Inc. Energy storage device assembly
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
KR102203098B1 (ko) * 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
DE102013112855A1 (de) * 2013-11-21 2015-05-21 Aixtron Se Vorrichtung und Verfahren zum Fertigen von aus Kohlenstoff bestehenden Nanostrukturen
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US20150371828A1 (en) * 2014-06-24 2015-12-24 Applied Materials, Inc. Low cost wide process range microwave remote plasma source with multiple emitters
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9528185B2 (en) * 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102589972B1 (ko) * 2015-09-11 2023-10-13 어플라이드 머티어리얼스, 인코포레이티드 슬롯형 접지 플레이트를 갖춘 플라즈마 모듈
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10519545B2 (en) * 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6160976B1 (ja) 2017-01-11 2017-07-12 三広アステック株式会社 平行撹拌翼
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9972501B1 (en) * 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102083854B1 (ko) * 2018-07-31 2020-03-03 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
KR20210061846A (ko) * 2019-11-20 2021-05-28 삼성전자주식회사 기판 처리 장치 및 이를 이용한 반도체 소자의 제조 방법
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
JP7114763B1 (ja) * 2021-02-15 2022-08-08 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
CN115365083A (zh) * 2021-05-17 2022-11-22 亨泰光学股份有限公司 双向阳极电浆化学气相沉积镀膜设备
DE102021114868A1 (de) 2021-06-09 2022-12-15 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
KR102629908B1 (ko) * 2021-10-14 2024-01-30 (주)아이작리서치 기판 처리 장치
US20240068096A1 (en) * 2022-08-30 2024-02-29 Applied Materials, Inc. Showerhead Assembly with Heated Showerhead

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5562947A (en) * 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
JP3360461B2 (ja) * 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
US5902494A (en) * 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP3161394B2 (ja) * 1997-12-03 2001-04-25 日本電気株式会社 プラズマcvd装置
GB9801359D0 (en) * 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6245398B1 (en) * 1999-03-29 2001-06-12 Fuji Photo Film Co., Ltd. Optically anisotropic sheet containing discotic liquid crystal molecules twisted with optically active triphenylene compound
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases

Cited By (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100336165C (zh) * 2002-11-15 2007-09-05 三星电子株式会社 用于半导体处理系统的注气装置
CN100433262C (zh) * 2003-02-06 2008-11-12 株式会社Eugene科技 用于制造薄膜的化学汽相淀积设备的加热器
CN1806310B (zh) * 2003-06-16 2011-12-14 法国圣戈班玻璃厂 局部除去玻璃板涂层的方法及装置
CN1576391B (zh) * 2003-06-25 2010-08-25 周星工程股份有限公司 用于半导体制造设备的气体喷射器
CN100519834C (zh) * 2003-08-06 2009-07-29 爱发科股份有限公司 薄膜制造装置以及制造方法
CN100339505C (zh) * 2003-11-07 2007-09-26 株式会社岛津制作所 表面波激发等离子体cvd系统
CN1648282B (zh) * 2004-01-27 2010-06-16 三星电子株式会社 等离子体增强的半导体淀积设备
US7767024B2 (en) 2004-02-26 2010-08-03 Appplied Materials, Inc. Method for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
CN101241844B (zh) * 2004-02-26 2010-09-22 应用材料有限公司 用于前段工艺制造的原地干洗腔
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
CN101018884B (zh) * 2004-04-30 2011-06-08 兰姆研究公司 包含输送工艺气体和射频功率的气体分配单元的等离子处理设备
CN101040061B (zh) * 2004-10-11 2011-07-06 贝卡尔特先进涂层公司 细长的气体分配系统
CN1766157B (zh) * 2004-10-28 2010-08-25 周星工程股份有限公司 用于制作显示装置的设备
CN101310036B (zh) * 2004-11-16 2014-08-06 应用材料公司 低温聚硅tft用的多层高质量栅介电层
CN100357487C (zh) * 2004-12-23 2007-12-26 中国科学院半导体研究所 金属有机物化学气相淀积设备的多层流反应室结构
CN101476116B (zh) * 2005-01-20 2011-03-30 三星移动显示器株式会社 蒸镀装置及蒸镀方法
CN101151702B (zh) * 2005-04-05 2010-05-19 松下电器产业株式会社 用于等离子体处理设备的气体喷头盘
CN1870851B (zh) * 2005-05-23 2014-08-27 新动力等离子体株式会社 具有放电感应电桥的等离子源及利用其的等离子处理系统
CN101268213B (zh) * 2005-09-23 2010-12-08 弗兰霍菲尔运输应用研究公司 在常压下连续化学气相沉积的设备和方法及其用途
CN101003895B (zh) * 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
CN101153385B (zh) * 2006-09-30 2010-06-23 中芯国际集成电路制造(上海)有限公司 等离子体增强化学气相淀积方法
CN101525741B (zh) * 2008-03-07 2011-04-20 显示器生产服务株式会社 用于制造薄膜型太阳电池的沉积装置
CN102272902A (zh) * 2009-01-07 2011-12-07 朗姆研究公司 通过等离子体氧化处理的轮廓和cd均匀性控制
CN101834120B (zh) * 2009-03-10 2012-05-02 东京毅力科创株式会社 喷淋头和等离子体处理装置
CN101834120A (zh) * 2009-03-10 2010-09-15 东京毅力科创株式会社 喷淋头和等离子体处理装置
CN102422390B (zh) * 2009-03-16 2015-05-13 奥塔装置公司 气相沉积反应器系统及其方法
CN102422390A (zh) * 2009-03-16 2012-04-18 奥塔装置公司 气相沉积反应器系统及其方法
CN102754190A (zh) * 2009-07-15 2012-10-24 应用材料公司 Cvd腔室的流体控制特征结构
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
CN102648512B (zh) * 2009-11-18 2015-04-29 圆益Ips股份有限公司 喷头组件和包括该喷头组件的薄膜沉积装置
CN102648512A (zh) * 2009-11-18 2012-08-22 圆益Ips股份有限公司 喷头组件和包括该喷头组件的薄膜沉积装置
US8608854B2 (en) 2009-12-24 2013-12-17 Hon Hai Precision Industry Co., Ltd. CVD device
CN102108498B (zh) * 2009-12-25 2014-04-23 鸿富锦精密工业(深圳)有限公司 化学气相沉积设备
CN102108498A (zh) * 2009-12-25 2011-06-29 鸿富锦精密工业(深圳)有限公司 化学气相沉积设备
CN102934203B (zh) * 2010-04-28 2015-09-23 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
CN102934203A (zh) * 2010-04-28 2013-02-13 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN105493229B (zh) * 2013-08-19 2019-04-05 应用材料公司 用于杂质分层外延法的设备
CN105493229A (zh) * 2013-08-19 2016-04-13 应用材料公司 用于杂质分层外延法的设备
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
CN104561939B (zh) * 2015-01-12 2017-11-24 深圳清溢光电股份有限公司 超薄反应腔
CN104561939A (zh) * 2015-01-12 2015-04-29 深圳清溢光电股份有限公司 超薄反应腔
CN105369347A (zh) * 2015-11-03 2016-03-02 电子科技大学 一种通过控制成核制备大面积石墨烯单晶的装置及方法
CN107424896A (zh) * 2016-05-23 2017-12-01 Sti 有限公司 腔室清洁系统
CN107424896B (zh) * 2016-05-23 2019-12-10 Sti 有限公司 腔室清洁系统
CN110391120A (zh) * 2018-04-17 2019-10-29 北京北方华创微电子装备有限公司 一种喷头和等离子体处理腔室
CN114427084A (zh) * 2020-10-29 2022-05-03 中国科学院微电子研究所 一种等离子体增强化学气相沉积设备及其操作方法

Also Published As

Publication number Publication date
CN1302152C (zh) 2007-02-28
JP3924483B2 (ja) 2007-06-06
JP2002294454A (ja) 2002-10-09
US7410676B2 (en) 2008-08-12
US6886491B2 (en) 2005-05-03
US20050217582A1 (en) 2005-10-06
US20020129769A1 (en) 2002-09-19

Similar Documents

Publication Publication Date Title
CN1302152C (zh) 化学气相沉积设备
CN1847450A (zh) 化学气相沉积设备
CN1861837A (zh) 用于沉积多晶硅的cvd装置
US7655111B2 (en) Plasma processing apparatus and plasma processing method
CN1861839A (zh) 催化剂增强的化学汽相淀积设备及利用该设备的淀积方法
CN1251311C (zh) 半导体处理用紫外线辅助处理装置
CN1748294A (zh) 半导体制造装置
CN1617309A (zh) 平板显示器制造设备
CN1949086A (zh) 基板处理方法以及基板处理装置
CN1791972A (zh) 等离子体处理装置
JP2009527921A (ja) 基板縁部からの処理調整ガスの注入
CN1913741A (zh) 等离子体处理装置的控制方法和等离子体处理装置
CN1796008A (zh) 基板处理装置及其处理方法
CN1638026A (zh) 衬底处理器件及其清洗方法
KR20080105617A (ko) 화학기상증착장치 및 플라즈마강화 화학기상증착장치
CN1807681A (zh) 蒸镀装置及利用该蒸镀装置的蒸镀方法
KR20120079962A (ko) 기판 처리 장치 및 그 동작 방법
KR101123829B1 (ko) 기판 처리 장치 및 방법
CN1891358A (zh) 基板清洗装置及基板清洗方法
KR101881894B1 (ko) 박막 증착 장치 및 그것을 이용한 박막 증착 방법
CN101033540A (zh) 使用气体分离喷头清洁腔室的装置
KR101037189B1 (ko) 플라즈마 화학기상증착 장치용 대면적 샤워헤드
CN1691288A (zh) 基板清洗装置和基板清洗方法
KR102375158B1 (ko) 증착 장치 및 그 구동 방법
JP2014152348A (ja) 成膜装置及び成膜方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: CO., LTD. IPS

Free format text: FORMER OWNER: APEX, CO., LTD.

Effective date: 20060407

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20060407

Address after: Gyeonggi Do, South Korea

Applicant after: IPS LTD.

Address before: North Chungcheong Province

Applicant before: APEX, CO.,LTD.

C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: YUANYI IPS CO., LTD.

Free format text: FORMER OWNER: INTEGRATED PROCESS SYSTEMS

Effective date: 20120111

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20120111

Address after: Gyeonggi Do, South Korea

Patentee after: WONIK IPS Co.,Ltd.

Address before: Gyeonggi-do, South Korea

Patentee before: IPS LTD.

C41 Transfer of patent application or patent right or utility model
C56 Change in the name or address of the patentee
CP03 Change of name, title or address

Address after: Gyeonggi Do Korea Pyeongtaek paint 78-40 (jije Dong strange street)

Patentee after: Lap Yi Cmi Holdings Ltd.

Address before: Gyeonggi Do, South Korea

Patentee before: WONIK IPS Co.,Ltd.

TR01 Transfer of patent right

Effective date of registration: 20160818

Address after: South Korea Gyeonggi Do Ping Ze Zhenwei Zhenwei group produced 75 road surface

Patentee after: WONIK IPS Co.,Ltd.

Address before: Gyeonggi Do Korea Pyeongtaek paint 78-40 (jije Dong strange street)

Patentee before: Lap Yi Cmi Holdings Ltd.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20070228