CN100336165C - 用于半导体处理系统的注气装置 - Google Patents

用于半导体处理系统的注气装置 Download PDF

Info

Publication number
CN100336165C
CN100336165C CNB031390919A CN03139091A CN100336165C CN 100336165 C CN100336165 C CN 100336165C CN B031390919 A CNB031390919 A CN B031390919A CN 03139091 A CN03139091 A CN 03139091A CN 100336165 C CN100336165 C CN 100336165C
Authority
CN
China
Prior art keywords
reaction chamber
gas
gas passage
injection member
air inlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB031390919A
Other languages
English (en)
Other versions
CN1501435A (zh
Inventor
金泰完
尤里·N·托尔马切夫
马东俊
瑟吉·Y·纳瓦拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1501435A publication Critical patent/CN1501435A/zh
Application granted granted Critical
Publication of CN100336165C publication Critical patent/CN100336165C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

一种注气装置,用于将反应气体注入半导体处理系统中的反应腔室内。该注气装置包括:注入件,该注入件被设置成与反应腔室的腔壁内表面发生接触,并且具有多个穿过其的喷嘴,通过这些喷嘴,反应气体被注入反应腔室内;进气口,该进气口贯穿反应腔室的腔壁;以及歧管,该歧管被设置在反应腔室的腔壁与注入件之间,用于将通过进气口流入的反应气体供送至各个喷嘴。所述歧管被构造成具有设置在多个级别上的气体通道,这些气体通道用于使得连接进气口和各个喷嘴的气体通路的长度相等,由此使得通过各个喷嘴供入反应腔室的气体流量均匀。这种构造使得通过各个喷嘴供送至反应腔室的反应气体的流量均匀。

Description

用于半导体处理系统的注气装置
技术领域
本发明涉及一种半导体处理系统,尤其是涉及一种用于将反应气体供入反应腔室内的注气装置,所述反应气体用于对半导体基片进行处理。
背景技术
近年来,诸如等离子体处理系统或者磁控管溅射系统的半导体加工系统已经被广泛应用于在半导体基片上执行的显微机械加工工艺中,来制造半导体器件或者平面显示板。例如,等离子体增强型化学汽相沉积(PECVD)系统或者高密度等离子体CVD(HDP-CVD)系统已经被广泛用于通过化学汽相沉积(CVD)在基片上沉积一个材料层。磁控管溅射系统已经被广泛用于通过物理汽相沉积(PCD)在基片上沉积一个材料层。
半导体处理系统的发展方向是最能适合于用于半导体处理工艺的各种工艺特性。尤其是,随着基片直径的增大,近年来在半导体处理系统上的研究集中在如何处理大尺寸的基片以获得提高的产量。也就是说,随着晶片尺寸从200毫米变化至300毫米,对于晶片处理工艺来说尤其需要提高在大晶片上的均匀度。为了获得预期的均匀度,最重要的是在通过一个用于半导体处理系统的注气装置将反应气体供入一个反应腔室内时,使得这种反应气体均匀地分布在整个反应腔室中。
因此,为了通过注气装置实现气体的均匀分布,迄今为止已经研究出了多种不同类型的注气装置。作为一个示例,美国专利No.5522931描述了一种注气装置,其具有沿着一个垂直于基片的方向以多个级别设置的多个喷嘴。与较低级别的气体分配喷嘴相比,较高级别的气体分配喷嘴朝向反应腔室中部的延伸距离更长。这种注气装置也许在实现气体均匀分布方面是有效的,但是由于朝向反应腔室内部延伸的那部分喷嘴的存在,所以易于阻挡离子流流向基片。
作为另外一个示例,美国专利No.6432831描述了一种莲蓬头型注气装置。在这种注气装置中,气体通过隔板和穿孔被供送至一个莲蓬头,以便在莲蓬头的整个后侧提供均匀的气体压力分布。莲蓬头型注气装置的另外一种示例在美国专利No.6415736中公开。在这种注气装置中,隔板上的穿孔尺寸可以发生变化,用以在莲蓬头的后侧获得均匀的气体压力分布。但是,由于气体压力和流量的范围很宽,所以难以对这些系统进行优化。另外一个缺点在于,莲蓬头型注气装置仅适合于平行板式等离子体反应器,而无法应用于磁控管溅射系统。还有,当用于一个电子回旋谐振式(ECR)等离子体反应器中时,位于反应腔室上部的莲蓬头会阻挡微波的传播。对于ECR等离子体反应器和其它实际应用来说,通常使用一种环状注气装置,这种环状注气装置的示例在图1中示出。
在图1中示出的环状注气装置10具有一个形成于其中的气体通道14,从而使得反应气体可以穿过该气体通道14,一个在其外周处连接于气体通道14上的进气口12,以及多个位于其内周处的喷嘴16。所述多个喷嘴16沿着注气装置10的内周均匀地间隔开。
注气装置10被构造成形成一条气体通路,该气体通路通过气体通道14连接进气口12和多个喷嘴16中的每个上。对于各个喷嘴16来说,均具有不同长度的各通路。由于在反应气体与气体通道14的壁发生碰撞之后会产生压降,所以气体通路的差异会导致各个喷嘴处的反应气体压力存在差异。这将使得穿过各个喷嘴16的气体流量不均匀。
图2是一个曲线图,示出了在图1所示注气装置中各个喷嘴处的气体压力和流量。在该图中,进气口12被标记为数字“0”,而喷嘴被以它们沿着注气装置内周的设置顺序依次标记为数字“1”至“16”。
图2中的图示出了当在反应腔室内压力为10mTorr的条件下以每分钟100标准立方厘米(sccm)的流量供送O2气体时对气体压力和流量进行计算的结果。气体通道具有一个1×4毫米的矩形横剖面,并且各个喷嘴的直径均为0.5毫米,长度均为2毫米。气体通道被制成一个直径为241毫米的环状。
正如从图2中的图看出的那样,气体压力沿着一个背离进气口的方向下降,也就是说随着气体通路长度的增大而下降。在最接近进气口的喷嘴处的气体流量大约是最远离进气口的喷嘴处气体流量的四倍。以这种方式,由于通过气体通道连接进气口和各个喷嘴的气体通路的长度存在差异,所以常规的环状注气装置会使得各个喷嘴处的气体压力和流量极其不均匀。
注气装置的设计和构造会极大地影响在被处理基片上的均匀度。已经公知的是,随着注气装置尺寸的增大和气体通道剖面面积的缩小,气体分布的不均匀度会变大。为了不会阻挡离子流和微波传播,所希望的是使得注气装置尽可能地薄。尽管这种结构要求气体通道具有小的剖面面积,但是带有薄的气体通道的大注气装置仍旧存在压力分布不均匀的问题。
因此,随着近年来晶片尺寸不断增大的趋势,使得利用常规的注气装置更难以确保向反应腔室均匀地分布气体。这将损害在整个被处理半导体基片上的均匀度,相应地又会明显恶化半导体器件的质量和产量。
发明内容
本发明提供了一种用于半导体处理系统的注气装置,其具有歧管,该歧管被设置成使得连接进气口和各个喷嘴的气体通道的长度相等,以便向反应腔室均匀地分布反应气体。
本发明还提供了一种注气装置,其可以适用于多种半导体处理系统,比如PECVD系统、HDP-CVD系统以及磁控管溅射系统。
本发明还提供了一种注气装置,其可以适用于具有大反应腔室的半导体处理系统,用以无论反应腔室的尺寸、气体压力以及流量如何变化,均能够实现反应气体的均匀分布。
根据本发明的一个方面,一种注气装置,用于将反应气体注入半导体处理系统中的反应腔室内,该注气装置包括:注入件,该注入件被设置成与反应腔室的腔壁内表面发生接触,并且具有多个穿入其的喷嘴,通过这些喷嘴,反应气体被注入反应腔室内;进气口,该进气口贯穿反应腔室的腔壁;歧管,该歧管被设置在反应腔室的腔壁与注入件之间,用于将通过进气口流入的反应气体供送至所述多个喷嘴的每个中;以及分支气体通道,设置在歧管中的至少两个级别上,所述至少两个级别使连接进气口和所述多个喷嘴的气体通路的长度相等,由此使得通过所述多个喷嘴的每个供入反应腔室的气体流量均匀,其中,限定出所述气体通道的所有表面具有一个由所述注入件的表面和所述反应腔室的表面所限定的全部延伸范围。
另外,在此提供了一种注气装置,用于将反应气体注入半导体处理系统中的反应腔室内。该注气装置包括:注入件,该注入件被设置成与反应腔室的腔壁内表面发生接触,并且具有多个穿过其的喷嘴,通过这些喷嘴,反应气体被注入反应腔室内;进气口,该进气口贯穿反应腔室的腔壁;以及歧管,该歧管设置在反应腔室的腔壁与注入件之间,用于将通过进气口流入的反应气体供送至所述多个喷嘴中的每个中。所述歧管被构造成具有设置在多个级别上的气体通道,这些气体通道用于使得连接进气口和多个喷嘴中的每个的气体通路的长度相等,由此使得通过所述多个喷嘴中的每个供送至反应腔室的气体流量均匀。
在此,多个级别的气体通道中一个级别的气体通道在下一较高级别的气体通道的任一端部处被分成两个支管,各个支管均具有相同的长度。最高级别的气体通道被分成两个支管,各个支管均在与进气口(outlet)相连的部分处具有相同的长度。所述多个喷嘴中的每个均被连接到最低级别的气体通道的任一端部上。在反应腔室的腔壁内表面上成形有沟槽,并且注入件被插入到该沟槽内。所述气体通道可以被成形在与反应腔室的腔壁内表面发生接触的注入件表面上,呈具有预定深度的沟槽形状。可选择地,所述气体通道可以被成形在反应腔室的腔壁内表面上,呈具有预定深度的沟槽形状。在这种情况下,注入件可以由一种诸如陶瓷材料的介电衬垫(adielectric liner)制成。
沿着注入件的圆周,所述喷嘴的出口在与反应腔室的内部相对的注入件表面上均匀地间隔开。
根据本发明的第一实施例,注入件呈扁平的环状,并且被设置成与反应腔室上壁的底部发生接触。还有,所述气体通道以不同的级别设置。
根据本发明的第二实施例,注入件呈圆柱状,并且被设置成与反应腔室侧壁的内表面发生接触。
根据本发明的第三实施例,注入件呈圆锥状,并且被设置成与反应腔室上壁的倾斜内表面发生接触。
在第二和第三实施例中,所述气体通道在注入件的外周上沿着该注入件的高度方向以不同的级别设置,从而使得从进气口进行观看,高级别气体通道位于低于低级别气体通道的位置处。
所述注气装置还可以包括一个莲蓬头型注入件,该莲蓬头型注入件被设置在反应腔室的顶部处,用于将反应气体供送至反应腔室的中部。
通过前述构造,本发明可以提供这样一种注气装置,即无论反应腔室的尺寸、气体压力以及流量如何,其均可以通过使得连接进气口和所述多个喷嘴中的每个的气体通道的长度相同,来实现气体流量的高度均匀,并且可以适用于各种半导体处理系统,包括等离子体处理系统和磁控管溅射系统。
附图说明
通过参照附图详细地对本发明的优选实施例进行描述,本发明的前述目的和优点将变得更为明了,其中:
图1是一个用于半导体处理系统中的常规环状注气装置的剖视透视图;
图2是一个图,示出了在图1所示注气装置中各个喷嘴处的气体压力和流量;
图3A示出了一个根据本发明用于半导体处理系统的注气装置的基本构造;
图3B是图2所示注气装置沿着线A-A′的经放大横剖视图;
图4是一个图,示出了在一个根据本发明的注气装置中各个喷嘴处的气体压力和流量;而
图5-9是根据本发明第一至第五实施例的注气装置和采用了它们的等离子体处理系统的横剖视图。
具体实施方式
下面将参照附图更为全面地对根据本发明优选实施例用于半导体处理系统的注气装置进行描述。
参照图3A和3B,根据本发明的注气装置包括进气口110,反应气体通过该进气口110从外部流入,多个喷嘴130,反应气体通过这些喷嘴130被注入反应腔室内,以及歧管120,反应气体通过该歧管120被从进气口110供送至多个喷嘴130中的每个中。歧管120被构造成使得连接进气口110和多个喷嘴130中的每个的气体通道的长度相等。为此,歧管120包括有设置在多个级别上的气体通道121-124。
进气口110被制成贯穿反应腔室的腔壁,并且多个喷嘴130被成形在一个环状注入件140上,该环状注入件140被安装成与反应腔室的腔壁内表面发生接触。歧管120上的气体通道121-124被设置在注入件140与反应腔室的腔壁之间。具体来说,气体通道121-124可以被成形在注入件140的一个表面上,也就是说与反应腔室腔壁的内表面发生接触的表面上,呈具有预定深度的沟槽形状。正如将在后面描述的那样,气体通道121-124也可以被成形在反应腔室腔壁的内表面上,呈具有预定深度的沟槽形状。
多个喷嘴130中的每个均被制成从在最低级别的各个气体通道124的两个端部朝向反应腔室的内部贯穿注入件140。也就是说,各个喷嘴130的入口均被连接到处于最低级别的气体通道124上,同时其出口均朝向反应腔室的内部敞开。所述喷嘴130的出口在注入件140的另一表面上,即在与反应腔室的内部相对的表面上,沿着圆周均匀间隔开。
如前所述,气体通道121-124被设置在多个级别上,优选的是如图3A中所示那样被设置在四个级别上。以第一级别设置的第一气体通道121从进气口110分成两个方向的支管,各个支管均沿着注入件140的圆周延伸相同的长度,其中所述第一级别是最高级别。以第二级别设置的第二气体通道122从第一气体通道121的任一端部分成两个方向的支管,各个支管均沿着注入件140的圆周延伸相同的长度。以第三级别设置的第三气体通道123从各个第二气体通道的任一端部分成两个方向的支管,各个支管均沿着注入件140的圆周延伸相同的长度。以第四级别设置的第四气体通道124以与前述相同的方式形成,其中所述第四级别是最低级别。喷嘴130如前所述那样被设置在各个第四气体通道124的端部处。如果用于气体通道121-124的级别数目如前所述那样为4,那么喷嘴130的数目为24,即16。因此,如果级别的数目为n,那么喷嘴130的数目就为2n。设置在多个级别的气体通道121-124使得连接进气口110和多个喷嘴130中的每个的气体通路的长度相等。
图4是一个曲线图,示出了在一个根据本发明的注气装置中各个喷嘴处的气体压力和流量。在该图中,进气口被标记为“0”,第一级别的气体通道的端部被标记为“a1”和“a2”,第二级别的气体通道的端部被标记为“b1”至“b4”,而第三级别的气体通道的端部被标记为“c1”至“c8”。所述的多个喷嘴以它们沿着注入件的圆周在注入件内侧上的设置顺序依次标记为数字“1”至“16”。
为了进行比较,图4中的图示出了在与图2中相同的状态下对气体压力和流量进行计算的结果,其中O2气体在反应腔室内压力为10mTorr的条件下以每分钟100标准立方厘米(sccm)的流量供给。各个气体通道均具有一个1×4毫米的矩形横剖面,并且各个喷嘴的直径均为0.5毫米,长度均为2毫米。第一至第四级别的气体通道的直径分别被设定为282毫米、268毫米、255毫米以及241毫米。
正如从图4中所示图看出的那样,由于在反应气体与气体通道的内壁发生碰撞后会产生压降,所以气体压力会沿着气体通路在背离进气口的方向上下降。但是,由于连接进气口和各个级别的气体通道的任一端部的气体通路的长度均相等,所以各个气体通路均会经历相等的压降。因此,这将使得在所述多个喷嘴中的每个处的气体压力相等。还有,通过所述多个喷嘴被供入反应腔室内的气体流量相等。当如图4中所示那样通过进气口供送的气体流量为100sccm时,通过16个喷嘴中的每一个注入反应腔室内的气体流量均为100/16sccm,即6.25sccm。
前述假设条件,即通过进气口所注入的气体流量为100sccm并且在反应腔室内的压力为10mTorr,是高密度等离子体CVD(HDP-CVD)系统中的典型情况。但是,即使气体流量和反应腔室内的压力发生了变化,根据本发明的注气装置也可以保持被供入反应腔室内的反应气体均匀分布。还有,如果各个级别的气体通道的直径发生了变化,那么利用设置有所述气体通道的前述结构,可以使反应气体的分布均匀。因此,根据本发明的注气装置可以在不受反应腔室尺寸、气体压力以及流量限制的条件下使反应气体均匀分布,由此能够使得所述注气装置适用于具有大反应腔室的半导体处理系统。
由于在通往所各喷嘴中的每个的气体通路之间压力均匀分布,所以两种或者多种气体组分会在各个气体通道中被均匀混合,从而使得可以通过单个注气装置将两种或者多种气体的混合物均匀地分布在整个反应腔室中。
下面将参照图5-9对根据本发明优选实施例的注气装置进行描述。在不同附图中相同的附图标记代表相同的构件。
图5示出了一个根据本发明第一实施例的注气装置。在图5中示出的该第一实施例是这样一个示例,即在未经任何改动的条件下将图3A中所示注气装置应用于一个等离子体处理系统。该等离子体处理系统包括一个反应腔室180,该反应腔室180带有一个用于形成等离子体的空间。为了使反应腔室180的内部保持处于真空状态,在反应腔室180的底壁181上成形有一个真空抽气孔188,该真空抽气孔188被连接到一个真空泵(未示出)。一个静电夹头184被设置在反应腔室180的底部处,用以支撑一个晶片W,同时一个等离子体源186被设置在反应腔室180的顶部处,用以对注入反应腔室180内的反应气体进行电离并且产生出等离子体。对于磁控管溅射系统来说,等离子体源186可以由一个磁控管枪(a magnetron gun)取代。
根据本发明第一实施例的注气装置包括一个进气口110,该进气口110贯穿反应腔室180的上壁182,和一个扁平的环状注入件140,该注入件140被固附在反应腔室180的上壁182的底部上。注入件140具有歧管120,该歧管120包括设置在多个级别上的气体通道121-124以及多个喷嘴130。气体通道121-124依次在注入件140的顶表面上设置在不同级别上,从而使得气体通道121最接近注入件140的外周,并且气体通道124最接近注入件140的内周。所述注气装置的细节配置、工作方式以及效果均如前所述。
根据本发明的注气装置中的注入件140被插入到沟槽182a内,该沟槽182a成形于反应腔室180的上壁182的底部上。因此,由于不存在朝向反应腔室180内部的突起,所以不会阻挡从等离子体源186朝向晶片W运动的离子流。
图6示出了一个根据本发明第二实施例的注气装置。在图6中示出的该第二实施例是这样一个示例,即图3A中所示注气装置被修改为具有圆筒形状,并且可以被应用于一个等离子体处理系统。根据本发明第二实施例的注气装置包括一个进气口210,该进气口210贯穿反应腔室180的侧壁183,和一个圆筒形注入件240,该注入件240被固附在反应腔室180的侧壁183的内表面上。注入件240具有歧管220,该歧管220包括设置在多个级别上的气体通道221-224以及多个喷嘴230。注入件240可以被插入到一个沟槽183a内,该沟槽183a成形于反应腔室180的侧壁183的内表面上。
气体通道221-224沿着注入件240的外周被制成具有预定深度的沟槽形状。气体通道221至224在注入件240的外周上沿着注入件240的高度方向以不同的级别设置,从而使得从进气口210进行观看,高级别气体通道位于一个低于低级别气体通道的位置处。其中设置有气体通道221-224的结构如图3A中所描述的那样。
所述多个喷嘴230被制成从最低级别的各气体通道224的两个端部处朝向反应腔室180的内部贯穿注入件240。所述多个喷嘴230的出口沿着注入件240的内周均匀地间隔开。
图7示出了一个根据本发明第三实施例的注气装置。在图7中示出的该第三实施例是这样一个示例,即图3A中所示注气装置被修改成圆锥形状,并且可被应用于等离子体处理系统。根据本发明第三实施例的注气装置包括一个进气口310,该进气口310贯穿反应腔室280的上壁282,和一个注入件340,该注入件340呈圆锥形状,被构造成与反应腔室280的上壁282的圆锥形倾斜内表面相匹配。注入件340具有歧管320,该歧管320包括设置在多个级别上的气体通道321-324以及多个喷嘴330。注入件340与反应腔室280的上壁282的倾斜内表面发生接触,并且由支撑件350支撑。支撑件350通过螺钉352固定在反应腔室280的上壁282上。附图标记284、286和288分别指代用于支撑晶片W的静电夹头、等离子体源以及真空抽气孔。
气体通道321-324沿着注入件340的外表面被制成具有预定深度的沟槽形状。它们沿着圆锥形注入件340的倾斜外表面以不同的级别设置,从而使得从进气口310进行观看,高级别气体通道位于低于低级别气体通道的位置处。其中设置有气体通道321-324的结构如图3A中所描述的那样。
所述多个喷嘴330被制成从最低级别的各个气体通道324的两个端部处朝向反应腔室280的内部贯穿注入件340。所述多个喷嘴330的出口沿着注入件340的内周均匀地间隔开。
图8示出了一个根据本发明第四实施例的注气装置。除了形成歧管的位置之外,在图8中示出的该注气装置与图7中所示注气装置具有相同的构造。根据本第四实施例的注气装置包括一个进气口410,该进气口410贯穿反应腔室280的上壁282,和一个注入件440,该注入件440呈圆锥形状,该圆锥形状被构造成与反应腔室280的上壁282的圆锥形倾斜内表面相匹配。注入件440由支撑件450支撑,支撑件450通过螺钉452固定在反应腔室280的上壁282上。用于构成歧管420的多个级别的气体通道421-424在反应腔室280的上壁282的倾斜内表面上被制成具有预定深度的沟槽形状,而并非沿着注入件440的外表面成形。气体通道421-424的布置方式差不多与根据本发明第三实施例的气体通道321-324相同。注入件440可以由一种能够抵抗溅射的绝缘衬垫制成,比如由陶瓷材料制成。在最轻微的溅射也会对半导体处理产生明显负面影响的情况下,注入件440是有用的。
各个喷嘴430均被制成从注入件440外表面上的预定位置,对应于最低级别的各个气体通道424的两个端部,朝向反应腔室280的内部贯穿该注入件440。所述多个喷嘴430的出口沿着注入件440的内周均匀地间隔开。
图9示出了一个根据本发明第五实施例的注气装置。参照图9,根据本发明第五实施例的注气装置包括一个进气口310和一个圆锥形注入件340,它们均具有与图7中所示相同的配置。圆锥形注入件340具有歧管320,该歧管320包括设置在多个级别上的气体通道321-324以及多个喷嘴330。由于这些构件具有与图7中所示相同的构造,所以在此将省略对它们的详细描述。
根据本发明第五实施例的注气装置还包括一个莲蓬头型注入件560,该注入件560被设置在反应腔室280的顶部处,用于将反应气体朝向反应腔室280的中部供送。这种构造可以提高沿着反应腔室280径向的气体分布均匀度。同样,莲蓬头型注入件560可以被添加到本发明的第一至第四实施例中。
如前所述,根据本发明用于半导体处理系统的注气装置具有下述优点。
首先,由于通过歧管使得连接进气口和多个喷嘴的气体通路的长度均相等,所以对于各个喷嘴来说被供入反应腔室内的气体压力和流量均相等,由此提高了在半导体基片处理过程中的均匀度。
第二,根据本发明的注气装置不管反应腔室尺寸、气体压力以及流量如何,均能使反应气体均匀分布,由此使得其能够适用于带有大反应腔室的半导体处理系统。
第三,根据本发明的注气装置不会阻挡离子流动或者微波传播,因为其与反应腔室的腔壁内表面发生接触或者相配合,并且因此不存在朝向反应腔室内部的突起,由此可以被应用于各种半导体处理系统,比如等离子体增强型CVD(PECVD)系统、HDP-CVD系统以及磁控管溅射系统。
第四,本发明在通往多个喷嘴的各条气体通路之间提供了均匀的压力分布,在所述气体通路中两种或者多种气体组分被均匀混合,由此使得能够通过单个注气装置将两种或者多种气体的混合物均匀地分布在反应腔室中。
尽管已经参照本发明的优选实施例对本发明进行了特定图示和描述,但是本技术领域中那些熟练技术人员将会明白的是,在不脱离由所附权利要求限定的本发明技术构思和保护范围的条件下,可以在形式和细节上对其进行多种改变。例如,根据本发明的注气装置的构造可以根据半导体处理系统的类型、反应腔室的形状和尺寸以及类似因素进行修改。因此,本发明的技术范围可以利用所附权利要求加以确定。

Claims (19)

1、一种注气装置,用于将反应气体注入半导体处理系统中的反应腔室内,该注气装置包括:
注入件,该注入件被设置成与反应腔室的腔壁内表面发生接触,并且具有多个穿入其的喷嘴,通过这些喷嘴,反应气体被注入反应腔室内;
进气口,该进气口贯穿反应腔室的腔壁;
歧管,该歧管被设置在反应腔室的腔壁与注入件之间,用于将通过进气口流入的反应气体供送至所述多个喷嘴的每个中;以及
分支气体通道,设置在歧管中的至少两个级别上,所述至少两个级别使连接进气口和所述多个喷嘴的气体通路的长度相等,由此使得通过所述多个喷嘴的每个供入反应腔室的气体流量均匀,
其中,限定出所述气体通道的所有表面具有一个由所述注入件的表面和所述反应腔室的表面所限定的全部延伸范围。
2、如权利要求1中所述的装置,其中,所述多个级别的气体通道中一个级别的气体通道在下一较高级别的气体通道的任一端部处被分成两个支管,每个支管均具有相同的长度,最高级别的气体通道被分成两个支管,每个支管均在与进气口相连的部分处具有相同的长度,并且所述多个喷嘴中的每个均被连接在最低级别的气体通道的任一端部上。
3、如权利要求2中所述的装置,其中,所述气体通道被设置在四个级别上。
4、如权利要求1中所述的装置,其中,在所述反应腔室的腔壁内表面上成形有沟槽,并且所述注入件被插入到该沟槽内。
5、如权利要求1中所述的装置,其中,所述气体通道被成形在与反应腔室的腔壁内表面发生接触的注入件表面上,呈具有预定深度的沟槽形状。
6、如权利要求1中所述的装置,其中,所述气体通道被成形在反应腔室的腔壁内表面上,呈具有预定深度的沟槽形状。
7、如权利要求6中所述的装置,其中,所述注入件由一种介电衬垫制成。
8、如权利要求7中所述的装置,其中,所述介电衬垫由一种陶瓷材料制成。
9、如权利要求1中所述的装置,其中,沿着所述注入件的圆周,所述多个喷嘴的出口在与反应腔室的内部相对的注入件表面上均匀地间隔开。
10、如权利要求1中所述的装置,其中,所述注入件呈扁平的环状,并且被设置成与反应腔室上壁的底部发生接触。
11、如权利要求10中所述的装置,其中,所述气体通道以不同的级别设置,从而使得从进气口进行观看,高级别气体通道较为接近注入件的外周,而低级别气体通道较为接近注入件的内周。
12、如权利要求1中所述的装置,其中,所述注入件呈圆筒状,并且被设置成与反应腔室侧壁的内表面发生接触。
13、如权利要求12中所述的装置,其中,所述气体通道在注入件的外周上以不同的级别设置,从而使得从进气口进行观看,高级别气体通道位于低于低级别气体通道的位置处。
14、如权利要求1中所述的装置,其中,所述注入件呈圆锥状,并且被设置成与反应腔室上壁的倾斜内表面发生接触。
15、如权利要求14中所述的装置,其中,所述气体通道在注入件的外周上以不同的级别设置,从而使得从进气口进行观看,高级别气体通道位于低于低级别气体通道的位置处。
16、如权利要求14中所述的装置,其中,所述注入件由支撑件支撑,所述支撑件被固定在反应腔室的腔壁上。
17、如权利要求1中所述的装置,还包括莲蓬头型注入件,该注入件被设置在反应腔室的顶部处,用于将反应气体朝向反应腔室的中部供送。
18、如权利要求1中所述的装置,其中,两种或者多种反应气体在穿过所述歧管时混合,并且这两种或者多种反应气体的混合物通过所述多个喷嘴被注入到反应腔室内。
19、如权利要求1中所述的装置,其中,所述注气装置适用于等离子体处理系统或者磁控管溅射系统。
CNB031390919A 2002-11-15 2003-09-30 用于半导体处理系统的注气装置 Expired - Fee Related CN100336165C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020020071047A KR100862658B1 (ko) 2002-11-15 2002-11-15 반도체 처리 시스템의 가스 주입 장치
KR71047/02 2002-11-15
KR71047/2002 2002-11-15

Publications (2)

Publication Number Publication Date
CN1501435A CN1501435A (zh) 2004-06-02
CN100336165C true CN100336165C (zh) 2007-09-05

Family

ID=32322234

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB031390919A Expired - Fee Related CN100336165C (zh) 2002-11-15 2003-09-30 用于半导体处理系统的注气装置

Country Status (4)

Country Link
US (1) US7252716B2 (zh)
JP (1) JP2004172622A (zh)
KR (1) KR100862658B1 (zh)
CN (1) CN100336165C (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018201717A1 (zh) * 2017-05-03 2018-11-08 深圳市捷佳伟创新能源装备股份有限公司 一种pecvd设备炉口进气结构

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4553471B2 (ja) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 処理装置及び処理システム
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
EP1866465A2 (en) * 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP2011500961A (ja) * 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
JP4731580B2 (ja) * 2008-03-27 2011-07-27 東京エレクトロン株式会社 成膜方法および成膜装置
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
CN101738088B (zh) * 2008-11-20 2011-09-07 中芯国际集成电路制造(上海)有限公司 炉管隔热装置及利用该装置的炉管预防维护保养方法
JP5662334B2 (ja) * 2008-12-04 2015-01-28 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長用の流入口要素及び化学気相成長方法
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US9076634B2 (en) * 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102206813A (zh) * 2010-08-20 2011-10-05 浙江正泰太阳能科技有限公司 Pecvd系统中的气体混合装置、方法和系统
KR20120035559A (ko) * 2010-10-06 2012-04-16 주식회사 유진테크 반원 형상의 안테나를 구비하는 기판 처리 장치
KR101165326B1 (ko) * 2010-10-06 2012-07-18 주식회사 유진테크 대칭형 유입구 및 유출구를 통해 반응가스를 공급하는 기판 처리 장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
HUP1100436A2 (en) * 2011-08-15 2013-02-28 Ecosolifer Ag Gas flow system for using in reaction chamber
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
CN103510071A (zh) * 2012-06-21 2014-01-15 Tel太阳能公司 用于奇数个处理模块的均等气体分配的系统、方法及装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014057047A (ja) * 2012-08-10 2014-03-27 Tokyo Electron Ltd 基板処理装置及びガス供給装置
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
CN104822866B (zh) * 2012-11-27 2017-09-01 索泰克公司 具有可互换气体喷射器的沉积系统和相关的方法
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102176189B1 (ko) * 2013-03-12 2020-11-09 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
JP5602903B2 (ja) * 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10163606B2 (en) * 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US10269599B2 (en) * 2014-06-20 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6258184B2 (ja) * 2014-11-13 2018-01-10 東京エレクトロン株式会社 基板処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
WO2016200568A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. An injector for semiconductor epitaxy growth
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
WO2018106627A1 (en) * 2016-12-08 2018-06-14 Applied Materials, Inc. Temporal atomic layer deposition processing chamber
JP6628065B2 (ja) * 2016-09-05 2020-01-08 信越半導体株式会社 気相成長装置、エピタキシャルウェーハの製造方法及び気相成長装置用のアタッチメント
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6796450B2 (ja) * 2016-10-25 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
WO2018128945A1 (en) * 2017-01-03 2018-07-12 Applied Materials, Inc. Gas injection apparatus with heating channels
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
JP6680271B2 (ja) * 2017-06-23 2020-04-15 日新イオン機器株式会社 プラズマ源
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108149223B (zh) * 2017-12-27 2020-08-07 长沙新材料产业研究院有限公司 一种mpcvd腔体结构及mpcvd设备
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102081705B1 (ko) * 2018-05-31 2020-02-27 세메스 주식회사 기판 처리 방법 및 장치
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020027980A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. Gas box for cvd chamber
WO2020046567A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
JP7119779B2 (ja) * 2018-08-30 2022-08-17 住友金属鉱山株式会社 成膜装置と成膜方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102151810B1 (ko) * 2018-10-01 2020-09-04 세메스 주식회사 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7497354B2 (ja) 2018-12-07 2024-06-10 アプライド マテリアルズ インコーポレイテッド 部品、部品を製造する方法、及び部品を洗浄する方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
KR102467433B1 (ko) * 2020-10-08 2022-11-16 에스케이실트론 주식회사 에피택셜 성장 장치
US11674227B2 (en) * 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
CN114959647A (zh) * 2022-04-07 2022-08-30 江苏微导纳米科技股份有限公司 薄膜沉积装置及其进气机构

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4929322A (en) * 1985-09-30 1990-05-29 Union Carbide Corporation Apparatus and process for arc vapor depositing a coating in an evacuated chamber
US5690763A (en) * 1993-03-19 1997-11-25 E. I. Du Pont De Nemours And Company Integrated chemical processing apparatus and processes for the preparation thereof
WO2001043857A1 (de) * 1999-12-18 2001-06-21 INSTITUT FüR MIKROTECHNIK MAINZ GMBH Mikrovermischer
CN1375575A (zh) * 2001-03-19 2002-10-23 株式会社Apex 化学气相沉积设备

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61263118A (ja) * 1985-05-15 1986-11-21 Sharp Corp プラズマcvd装置
JPH05104053A (ja) 1991-10-11 1993-04-27 Konica Corp 塗布装置
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6305923B1 (en) * 1998-06-12 2001-10-23 Husky Injection Molding Systems Ltd. Molding system using film heaters and/or sensors
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100389508B1 (ko) * 2000-07-05 2003-06-25 주식회사 실리콘 테크 에이치엠디에스 공급 장치
DE10100670A1 (de) * 2001-01-09 2002-08-14 Univ Braunschweig Tech Zuführvorrichtung für eine CVD-Anlage

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4929322A (en) * 1985-09-30 1990-05-29 Union Carbide Corporation Apparatus and process for arc vapor depositing a coating in an evacuated chamber
US5690763A (en) * 1993-03-19 1997-11-25 E. I. Du Pont De Nemours And Company Integrated chemical processing apparatus and processes for the preparation thereof
WO2001043857A1 (de) * 1999-12-18 2001-06-21 INSTITUT FüR MIKROTECHNIK MAINZ GMBH Mikrovermischer
CN1375575A (zh) * 2001-03-19 2002-10-23 株式会社Apex 化学气相沉积设备

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018201717A1 (zh) * 2017-05-03 2018-11-08 深圳市捷佳伟创新能源装备股份有限公司 一种pecvd设备炉口进气结构

Also Published As

Publication number Publication date
KR100862658B1 (ko) 2008-10-10
JP2004172622A (ja) 2004-06-17
US7252716B2 (en) 2007-08-07
US20040099378A1 (en) 2004-05-27
CN1501435A (zh) 2004-06-02
KR20040043049A (ko) 2004-05-22

Similar Documents

Publication Publication Date Title
CN100336165C (zh) 用于半导体处理系统的注气装置
US8097120B2 (en) Process tuning gas injection from the substrate edge
KR102156390B1 (ko) 반도체 처리를 위한 가스 분배 샤워헤드
CN108070846B (zh) 气体供应单元及包括气体供应单元的基板处理装置
CN1115425C (zh) 用于半导体处理的气体注射系统
US5458918A (en) Gas injectors for reaction chambers in CVD systems
KR101177983B1 (ko) 화학 기상 증착 반응기
US20060096540A1 (en) Apparatus to manufacture semiconductor
US20060011298A1 (en) Showerhead with branched gas receiving channel and apparatus including the same for use in manufacturing semiconductor substrates
KR20130067600A (ko) 다이렉트 플라즈마 형성 원자층 증착장치
US10504701B2 (en) Substrate processing device and substrate processing method
KR20060059305A (ko) 반도체 공정 장비
JP2020061549A (ja) 基板処理装置
KR20010028032A (ko) 화학기상증착용 튜브
US20180258531A1 (en) Diffuser design for flowable cvd
JP2023046391A (ja) ガス分配のためのシステムおよび装置
JP2006514161A5 (zh)
KR101552726B1 (ko) 플라즈마 화학 기상 증착 장치
JP2022539488A (ja) 基板処理装置用のガス供給装置および基板処理装置
US10801110B2 (en) Gas injector for semiconductor processes and film deposition apparatus
KR102629908B1 (ko) 기판 처리 장치
KR102208609B1 (ko) 화학 기상 증착용 샤워 헤드 및 이를 구비한 증착 장치
US20240003009A1 (en) Semiconductor processing apparatus for processing a plurality of substrates with cross flow
JPS59215475A (ja) 量産型グロ−放電分解装置
CN114293174A (zh) 气体供应单元和包括气体供应单元的衬底处理设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070905

Termination date: 20091030