KR101177983B1 - 화학 기상 증착 반응기 - Google Patents

화학 기상 증착 반응기 Download PDF

Info

Publication number
KR101177983B1
KR101177983B1 KR1020107006204A KR20107006204A KR101177983B1 KR 101177983 B1 KR101177983 B1 KR 101177983B1 KR 1020107006204 A KR1020107006204 A KR 1020107006204A KR 20107006204 A KR20107006204 A KR 20107006204A KR 101177983 B1 KR101177983 B1 KR 101177983B1
Authority
KR
South Korea
Prior art keywords
gas
flow
reactor
delete delete
wafer carrier
Prior art date
Application number
KR1020107006204A
Other languages
English (en)
Other versions
KR20100070333A (ko
Inventor
마이클 제이 버가네이
프랭크 제이. 캠퍼낼
Original Assignee
발렌스 프로세스 이큅먼트, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 발렌스 프로세스 이큅먼트, 인코포레이티드 filed Critical 발렌스 프로세스 이큅먼트, 인코포레이티드
Publication of KR20100070333A publication Critical patent/KR20100070333A/ko
Application granted granted Critical
Publication of KR101177983B1 publication Critical patent/KR101177983B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/9247With closure

Abstract

에피택셜층의 유기금속 화학 기상 증착을 수행하는 MOCVD 반응기와 같은 CVD 반응기가 제공된다. 상기 CVD 또는 MOCVD 반응기는 일반적으로 흐름 플랜지 어셈블리, 조정가능한 비례 흐름 인젝터 어셈블리, 챔버 어셈블리 및 다수-세그먼트 중앙 회전 샤프트를 포함한다. 상기 반응기는 가스의 사용을 줄이면서도 증착의 수행을 향상시키는 기능을 하는 특별한 구성요소에 새로운 구조를 제공한다.

Description

화학 기상 증착 반응기{CHEMICAL VAPOR DEPOSITION REACTOR}
본 출원은 2007년 10월 11일자에 출원된 미국 가특허 출원 제60/979,181호의 이익을 주장하고, 상기 출원 전체는 본 출원에 참조로서 삽입된다.
본 발명은 유기금속 화학 기상 증착(metalorganic chemical vapor deposition; "MOCVD") 반응기를 포함하는 화학 기상 증착(CVD) 반응기에 관한 것이다.
화학 기상 증착("CVD") 반응기, 특히 유기금속 화학 기상 증착("MOCVD") 반응기는 고형 물질층을 웨이퍼에 증착시키는데 이용된다. 이러한 물질은 통상적으로 주기율표의 Ⅲ족 그룹과 Ⅴ족 그룹 원소의 화합물을 포함한다(이하, Ⅲ-Ⅴ물질로 나타내지만, "Ⅱ-Ⅵ 물질"도 포함함). 실리콘(Si), 실리콘 카바이드(SiC), 산화아연(ZnO) 같은 물질 및 다른 물질도 이들 반응기를 이용하여 웨이퍼나 다른 표면에 증착된다. 통상, 이러한 반응기는 고상(solid-state) 반도체 마이크로전자장치, 광학장치 및 광전(태양)장치의 제조에 이용되고, 다른 전자/광-전자 물질과 장치를 제조하는데 이용된다.
작동에 있어서, 웨이퍼 캐리어 상면의 얕은 포켓(shallow pocket)에 적재된 하나 이상의 웨이퍼를 갖는 전형적인 평판-원통형 웨이퍼 캐리어(flat-cylindrical wafer carrier)는 통상적으로 하면 아래에 위치된 히터 어셈블리에 의하여 필요 온도(450-1400℃)까지 가열된다.
연속적으로-공급된 가스 혼합물은 웨이퍼 및 가열된 웨이퍼 캐리어의 표면 위로 흐르도록 안내된다. 상기 가스 혼합물의 대부분(약 75-95%)은 캐리어 가스이고, 상기 캐리어 가스는 반응기에서 일반적인 흐름 패턴(flow pattern)을 정의하고 반응 가스(reactant gas)를 적절히 희석시키는 기능을 하는 고유의 불활성 가스(통상적으로 수소 또는 질소)이다. 상기 가스 혼합물의 나머지는 Ⅴ족 그룹의 반응 가스(약 4-23%), Ⅲ족 그룹의 반응 증기(약 1-2%) 및 도펀트(dopant) 가스나 증기(미량 정도)로 구성된다.
상기 Ⅴ족 그룹의 가스는 웨이퍼 및 가열 웨이퍼 캐리어의 표면 및 그 위에서 즉시 분해되어, Ⅴ족 그룹 원소의 중심 원자가 웨이퍼 및 웨이퍼 캐리어의 표면모두에 증착되는 물질층에 삽입된다. 상기 Ⅲ족 그룹의 가스는 Ⅲ족 그룹 원소의 원자를 제공하기 위하여 유사하게 분해된다. 상기 도펀트 가스는 반도체 물질의 전기 전도성을 변경시키는 기능을 하는 원자를 제공하기 위하여 유사하게 분해된다.
상기 가스 혼합물(반응 부산물도 포함함)은, 웨이퍼 및 웨이퍼 캐리어의 표면 위로 방사상으로 외측 방향으로 흐른 후, 하나 이상의 배출 포트를 통하여 반응기에서 유출된다. 특히, 대부분의 물질은 대기압보다 낮은 압력에서 최적으로 증착되기 때문에, 진공 펌프가 반응기를 통하여 가스 혼합물을 끌어내는데 통상적으로 이용된다. 상기 가스 혼합물은 가열 웨이퍼 캐리어를 통과한 후, 급속히 냉각하기 시작하여 고체 상태로 부산물의 빠른 축합을 야기시킨다. 이는 배출 튜브와 웨이퍼 캐리어 아래의 반응기 챔버의 내면을 코팅하는 경향이 있다.
상기 웨이퍼 캐리어는, 유동 가스 혼합물을 균일하게 분포시키고, 반응물질 사용량 및 부산물 제거의 효율성을 증가시키는 물질-수송 경계층(mass-transport boundary layer)의 두께를 감소시키기 위하여 통상적으로 100에서 1000 RPM 이상으로 회전된다.
이러한 방법을 이용하여 배치(batch)식으로 물질이 증착된다. 상기 반응물질 배치 작동(batch run) 동안에 연속적으로 공급되지 않는다. 통상적인 배치 작동은 다음과 같이 수행된다. 작동의 초기 단계 동안에 캐리어 가스만이 낮은 유량으로 공급된다. 그리고 나서, 일제히 웨이퍼 캐리어의 회전이 요구 값으로 점차 증가되고, 웨이퍼 캐리어의 온도가 요구 값으로 증가되고, 그리고 캐리어 가스의 유량이 요구 값으로 증가된다.
Ⅴ족 그룹의 반응 가스는 기판 웨이퍼의 표면을 안정시키기 위하여(Ⅴ족 그룹 원자의 증착을 방지하기 위하여) 먼저 특정 온도 레벨에서 반응기내로 전환된 후, Ⅲ족 그룹 및 도펀트 가스가 물질층의 "성장(growth)"을 가져오기 위하여 전환된다(물질 성장은 적어도 하나의 Ⅴ족 그룹 및 적어도 하나의 Ⅲ족 그룹의 공급원이 반응기로 전환된 경우에만 발생함). Ⅲ족 그룹이나 도펀트 가스가 반응기로 공급되지 않는 잠시 동안의 멈춤(pauses)이 발생할 수 있지만, 적어도 하나의 Ⅴ족 그룹의 가스는 전체의 성장 단계 동안에 통상적으로 공급된다(한편, 온도는 약 350-400℃ 이상임).
모든 물질층이 성장되면, 온도는 점차 감소된다. 온도가 약 350℃ 아래이면, Ⅴ족 그룹의 반응 가스는 스위치 오프되고, 회전, 온도 및 캐리어 가스의 유량은 개시 레벨까지 감소된다. 이어서, 반응기 챔버 상부를 개방시키거나 또는 반응기 챔버의 외부로 전체 웨이퍼 캐리어를 기계수단에 의하여 이송시킴으로써, 웨이퍼 캐리어로부터 웨이퍼가 제거된다. 증착되는 물질에 따라, 노출된 상부 표면에 증착된 과잉의 물질이 세정되기에 앞서, 동일한 웨이퍼 캐리어가 여러 배치 작동 또는 단 하나의 작동을 위하여 이용될 수 있다.
현재 시장에서 이용되고 있는 공지된 다수의 MOCVD 반응기 시스템이 있다. 이러한 공지된 MOCVD 반응기의 각각은 결함 및 단점을 안고 있다.
공지된 첫번째 디자인은 전체의 리드 영역(lid area) 위로 흐름을 고르게 확산시키기 위한 가스 흐름 인젝션 상부 리드를 갖는 큰 원통형 베셀(vessle)을 이용한다. 어느 한도까지는, 수직 분리가 가스 흐름이 유입되는 내부 리드 표면에 부산물이 증착되는 것을 방지한다. 그러나, 상기 리드의 디자인은, 리드에서 다수 가스의 확산 "구역(zone)"의 비효율적인 격리(isolation)으로 인한, 전-반응(pre-reaction) 및 부산물 증착을 야기시키는 것; 공급 가스 튜브로부터 넓은 구역의 영역 위로 가스 흐름이 비효율적으로 확산되어서, 내부 리드 표면에 부가적인 물질의 증착뿐만 아니라 최적이 아닌 물질의 특성을 야기시키는 것; 및 큰 챔버의 체적을 통하여 리드로부터 비교적 균일한 출구 흐름을 생성시키기 위하여 가스의 높은 유량을 필요로 하는 것을 포함하는 단점을 갖고 있다.
두번째 디자인은 (가열된) 증착 표면에 밀접하게 간격을 두고 있는 가스 흐름 인젝션 상부 리드를 갖는 짧은 원통형 베셀을 이용한다. 밀접한 간격 구분은 반응기 체적을 최소화하는데 효과적이며, 증착 표면에 가스가 효과적으로 접촉하게 하고, 가스 챔버의 격리가 효과적이다. 그러나, 밀접한 간격 구분으로 인하여 내부 리드 표면에 부산물의 증착을 야기시키고 거의 모든 프로세스 작동 후에 세정을 필요로 하여, 큰 유지시간과 비용이 필요하며 생산성이 낮다, 높은 유지비용 외에, 상부 리드를 제조하는 비용은 리드의 복잡성 및 넓은 면적으로 인하여 매우 높다.
두개의 디자인은 이용하는데 비용이 많이 든다. 첫번째 디자인은 매우 높은 작동 비용을 갖고 낮은 품질과 성능의 제품을 생산한다. 두번째 디자인은 상대적으로 낮은 작동 비용을 갖지만, 고도의 시스템 유지를 필요로 한다.
낮은 생산 단가와 작동 비용을 갖는 CVD 반응기 시스템이 바람직하다. 증착 물질의 개선된 특성, 높은 가동시간 및 고품질을 갖는 CVD 반응기 시스템이 바람직하다.
본 발명은 에피텍셜층(epitaxial layers)의 유기금속 화학 기상 증착을 수행하는 유기금속 화학 기상 증착(MOCVD) 반응기를 포함하는 화학 기상 증착(CVD) 반응기를 제공한다. 상기 CVD 또는 MOCVD 반응기는 일반적으로 하나 이상의 흐름 플랜지(flow flange) 어셈블리, 조정가능한 비례(proportional) 흐름 인젝터 어셈블리, 챔버 어셈블리 및 다수-세그먼트(multi-segment) 중앙 회전 샤프트를 포함한다.
본 발명의 CVD 반응기는 가스의 사용을 줄이면서도 증착을 향상시키는 기능을 하는 특별한 구성요소에 대한 새로운 구조를 제공한다. 본 발명의 일 관점에서, 새로운 구조를 갖는 다수의 CVD 반응기 구성요소에 대하여 설명한다. 다른 관점에서, 통상적인 CDV 반응기의 문제를 대처하는 새로운 구성요소를 설명한다. 예를 들어, 챔버 상부와 측벽은 통상적인 구성요소와 상당히 다른 구조를 갖는다. 상기 상부와 측벽은 플레어형(flared) 또는 커브 원뿔형(curved concial) 표면을 형성한다. 또한 상기 반응기의 출구 영역은 점차 가늘어지거나(tapered) 또는 경사진 (sloped) 표면을 포함하는 향상된 구조를 갖는다. 본 발명의 일 실시예에서 성능과 경제성을 더 향상시키기 위하여 새로운 가스 인젝터가 포함된다.
본 발명의 디자인은 다수의 이점을 제공한다. CVD 반응기는 반응기의 체적을 감소시키고, 유입되는 가스 흐름을 증착 표면에 직접적으로 접촉시키도록 안내하는 흐름-가이드 표면을 제공하고, 사용된 반응 가스의 주 반응 체적으로의 역-유입 (back-entry)을 방지하는 부가적인 흐름-가이드 표면을 제공하고, 핵심적인 내부 반응기 표면의 높은 균일한 유체 냉각이나 온도 제어를 제공하고, 증착 표면으로부터 열 손실을 줄이기 위한 수단을 제공한다.
상기 반응기의 디자인은 다음을 포함하는 기존 디자인의 여러 문제점을 대처하나 이에 한정되는 것은 아니다: (1) 고/비효율적인 가스와 화학물질 사용, (2) 유입하는 가스 흐름의 비-균일 분포, (3) 장비의 고가의 제조비용 및 (4) 내부 반응기 표면에 문제가 있는 부산물의 증착. 상기 반응기 디자인의 결과는 낮은 작동 비용, 증착 물질층의 향상된 특성 및 낮은 기계 유지 필요성의 이점을 갖는다.
상기 흐름 플랜지 어셈블리는 다른 디자인의 수직 원통형 벽과 달리 3차원적으로 점차 가늘어지거나 플레어형 원뿔 상부 표면 및 상기 표면 바로 뒤에 얇은 유체 갭을 포함한다. 이러한 디자인은 반응기 체적과 가스의 사용을 감소시키고, 더 효율적인 화학물질 사용을 위하여 가스를 증착 표면으로 효과적으로 안내하고, 향상된 증착 균일성을 위하여 거의 균일한 방사상 방향 속도를 제공한다.
상기 조정가능한 비례 흐름 인젝터는 증착 표면보다 작은 영역, 격리된 흐름 구역, 별개의 장벽(barriers)이 없는 단일의 조정가능한 흐름 구역 및 균일한 냉각 유체 흐름 프로파일을 포함하는 수개의 특징을 갖는다. 이러한 특징은 낮은 가스 유량, 낮은 제조비용, 구역 교차 누출(zone cross leak) 및 전-반응과 부산물의 증착이 없는 것 및 증착 물질의 향상된 균일성을 제공하여 종래기술의 인젝터의 수개의 문제점을 대처한다.
본 발명의 일 실시예에서, 상기 조정가능한 비례 흐름 인젝터 어셈블리는 하나 이상의 반응 가스 흐름을 별개로 유지시키기 위한 하나 이상의 가스 챔버 및 반응기 챔버로 가스를 주입하기 전에 가스 온도를 조절하기 위한 유체 캐비티( cavity)를 포함한다. 상기 조정가능한 비례 흐름 인젝터 어셈블리는 공급 튜브로부터 하나 이상의 가스 입구 스트림을 수용하며, 이러한 흐름을 균일한 출구 흐름 속도로 확산/분산시키고, 가스 스트림을 유출될 때까지 분리되도록 유지하면서, 또한 가스가 조정가능한 비례 흐름 인젝터 어셈블리를 유출함에 따라 가스의 온도를 조절한다.
본 발명의 일 실시예에서, 상기 챔버 어셈블리는 대체로 원뿔형이나 또는 경사진 하부 흐름 가이드를 포함한다. 상기 하부 흐름 가이드는 가스가 반응 구역으로 다시 재순환하는 것을 방지하고, 더 안정적인 전체 반응기 흐름 프로파일을 위하여 웨이퍼 캐리어의 외부 에지로부터 배출 포트로의 흐름의 원활함을 향상시키고, 보다 나은 온도 균일성 및 향상된 물질의 특성을 위하여 웨이퍼 캐리어의 외부 에지에서 열 손실을 줄인다.
상기 웨이퍼 캐리어의 실시예는 반응기 체적 내에서 기판 웨이퍼(들)를 유지하는 높은 온도 저항성 물질로 이루어진 원통형 플레이트를 갖고, 본 발명의 일 실시예에서 히터 어셈블리로부터 수용된 열을 웨이퍼로 전달한다.
상기 중앙 회전 샤프트는 대체로 웨이퍼 캐리어와 연통하여 웨이퍼 캐리어의 회전 운동을 발생시킨다. 본 발명의 일 실시예에서, 상기 중앙 회전 샤프트는 통상 페로유체(ferrofluid) 밀봉 타입과 같은 회전 진공 피드스루(feedthrough)와 결합하여 베이스플레이트 중심 축을 관통하고, 반응기 내에서 웨이퍼 캐리어를 지지하며 회전시킨다.
본 발명의 특별한 실시예에서, 상기 반응기는 상부와 바닥부를 갖는 두 부분의 웨이퍼 캐리어를 포함하고, 상기 상부는 기판 웨이퍼를 유지하는데 최적의 특성을 갖고, 상기 바닥부는 열 흡수에 최적의 특성을 갖는다.
본 발명의 일 실시예에서 다수-세그먼트 중앙 회전 샤프트가 제공된다. 상기 다수-세그먼트 샤프트는 반응기에서 선택적으로 이용될 수 있는 둘 이상의 세그먼트를 갖는다. 상기 다수-세그먼트 샤프트 중 적어도 하나의 세그먼트는 낮은 열 전도성을 갖는 물질로 이루어진다. 상기 다수-세그먼트 샤프트는 높은 열 전달 저항성을 갖고, 웨이퍼 캐리어로부터 열 손실을 줄이도록 디자인된 세그먼트 인터페이스(segment interfaces)를 가질 수 있다. 상기 다수-세그먼트 샤프트는 웨이퍼 캐리어의 중심 근처에서 부가적인 열을 발생시킬 수 있으며, 웨이퍼 캐리어 및/또는 샤프트로부터의 열 손실에 대한 열적 장벽을 제공한다.
본 발명에 따른 반응기의 구조에 의하면 가스의 사용을 줄이면서도 증착의 수행을 향상시킬 수 있는 효과가 있다.
다음은 본 명세서에 기재된 도면의 일반적인 설명이다.
도 1은 전체 반응기 챔버 어셈블리의 일 실시예에 따른 사시도이다.
도 2는 전체 반응기 챔버 어셈블리의 일 실시예에 따른 측면도이다.
도 3 - 도 5는 전체 반응기 챔버 어셈블리의 일 실시예에 따른 단면도이다.
도 6은 흐름 플랜지 어셈블리의 일 실시예에 따른 사시도이다.
도 7은 흐름 플랜지 어셈블리의 일 실시예에 따른 분해 측면도이다.
도 8은 흐름 플랜지 어셈블리의 일 실시예에 따른 분해 하면도이다.
도 9a - 도 9c는 상부 흐름 가이드의 일 실시예에 따른 3개의 측단면도이다.
도 10은 상부 흐름 가이드의 일 실시예에 따른 상세 단면도이다.
도 11은 조정가능한 비례 흐름 인젝터 어셈블리의 일 실시예에 따른 측면도이다.
도 12는 조정가능한 비례 흐름 인젝터 어셈블리의 일 실시예에 따른 분해 측면도이다.
도 13 - 도 15는 조정가능한 비례 흐름 인젝터 어셈블리의 일 실시예에 따른 3개의 단면도이다.
도 16은 조정가능한 비례 흐름 인젝터 가스 챔버 기계의 일 실시예에 따른 상부 내부도이다.
도 17은 조정가능한 비례 흐름 인젝터 어셈블리의 일 실시예에 따른 하부도이다.
도 18은 흐름 플랜지 어셈블리에 밀봉된 조정가능한 비례 흐름 인젝터 어셈블리의 이중 O-링 밀봉부의 상세 단면도이다.
도 19는 챔버 어셈블리의 일 실시예에 따른 사시도이다.
도 20은 챔버 어셈블리의 일 실시예에 따른 상부를 나타낸 도면이다.
도 21a 및 도 21b는 중앙 회전 샤프트 어셈블리의 일 실시예에 따른 두개의 분해도이다.
도 22는 중앙 회전 샤프트 어셈블리의 일 실시예에 따른 측면도이다.
도 23은 중앙 회전 샤프트 어셈블리의 일 실시예에 따른 단면도이다.
도 24는 중앙 회전 샤프트 어셈블리의 일 실시예에 따른 상세 단면도이다.
도 25a - 도 25c는 조정가능한 비례 흐름 인젝터 어셈블리의 가스 챔버의 서브어셈블리의 다른 실시예를 나타낸 도면이다.
본 발명을 바람직한 실시예를 이용하여 상세하게 설명한다. 그러나, 본 발명은 이러한 실시예에 의하여 제한되는 것은 아니다. 또한, 실시예에서의 요건은 다른 실시예에 자유로이 적용가능하고, 그 요건은 특별한 조건이 부가되지 않는 한 상호간에 대체가능하다.
특히, CVD 반응기(화학 기상 증착 반응기)나 MOCVD 반응기(유기금속 화학 기상 증착 반응기) 및 상기 반응기의 구성요소와 부품은 다음에 상세하게 후술한다. 상기 CVD 반응기나 MOCVD 반응기는 본 명세서에서 특별히 언급되지 않는 다른 구성요소 및 부품을 포함할 수 있다. 또한, 본 발명의 범위는 본 명세서에서 설명된 구성요소 및 부품의 일부를 포함하거나 또는 본 명세서에서 설명된 모든 구성요소 및 부품을 포함할 수 있는 CVD 반응기나 MOCVD 반응기에 관련되는 것을 알 수 있을 것이다.
도 1은 전체 반응기 어셈블리(1)의 일 실시예에 따른 전면 사시도이다. 전체 반응기 어셈블리(1)는 전체 반응기 어셈블리(1)를 함께 형성하는 3개의 서브어셈블리로 이루어진다. 상기 3개의 서브어셈블리는 흐름 플랜지 어셈블리(3), 조정가능한 비례 흐름 인젝터 어셈블리(5) 및 챔버 어셈블리(7)이다.
도 2는 반응기 어셈블리(1)의 측면 및 반응기(1)의 외부로부터 보여지는 개개의 구성요소 중 일부를 나타낸 도면이다. 이러한 구성요소는 더 상세하게 후술된다.
도 3 - 도 5는 3개의 서브어셈블리의 상호연결을 나타낸 전체 반응기 어셈블리(1)의 단면 및 3개의 서브어셈블리를 이루는 개개의 구성요소의 단면을 나타낸 도면이다. 상기 흐름 플랜지 어셈블리(3), 조정가능한 비례 흐름 인젝터 어셈블리 (5) 및 챔버 어셈블리(7)가 도 1 및 도 2에 도시되어 있다. 상기 3개의 서브어셈블리(3, 5, 7)의 각 구성요소가 표시되어 있고 이하 더 상세하게 설명할 것이다.
도 6 - 도 10 및 도 18은 흐름 플랜지 어셈블리(3)의 일 실시예에 따른 몇개의 도면을 나타낸 것이다. 상기 흐름 플랜지 어셈블리(3)는 메인 플랜지 바디(30)를 포함하고, 상부에 흐름 인젝터 어셈블리(5)를 위한 결합 포트(mating port)를 형성하는 상부 개방부(31)를 갖고, 바닥단에서 챔버 어셈블리(7)에 결합한다(도 3 - 도 5의 단면도에 최적으로 도시함). 상기 흐름 플랜지 어셈블리(3)는, 흐름 인젝터 및 웨이퍼 캐리어와 함께, 반응기 체적(33) 및 반응기 체적 내에 가스 흐름 프로파일을 형성하고, 메인 플랜지 바디(30)에 끼워진 상부 흐름 가이드(32)를 갖는다.
상기 상부 흐름 가이드(32)는 종래 기술의 수직 원통형 벽과는 대조적으로 3차원으로 점차 가늘어진 원뿔형의 외측 대향면(34)을 갖는 것이 바람직하다. 상기 상부 흐름 가이드(32)는, 도 7 및 도 8에 최적으로 도시한 바와 같이, 메인 플랜지 바디(30) 내에 끼워져 위치된다. 상기 메인 플랜지 바디(30)의 하면(35)은 상부 흐름 가이드(32)와 메인 플랜지 바디(30) 사이에서, 얇은 유체 갭이나 캐비티(37)가 상부 흐름 가이드(32)의 바로 뒤에 형성되도록, 상부 흐름 가이드(32)의 내측 대향면(36)을 수용하기 위하여 대응하는 형상을 갖는다(도 8 - 도 10에 최적으로 도시함). 본 발명의 일 실시예에서, 도 9a - 도 9c에 도시된 바와 같이, 유체 캐비티 집합 채널(41, 42)(여기서는 두 지점)이 흐름 오리피스(40)를 통하여 얇은 유체 캐비티(37)와 연결된다.
상기 상부 흐름 가이드(32)의 구조는 반응기 챔버 체적을 최소화시키고, 반응기 챔버 체적(33) 내의 재순환 소용돌이를 억제하며, 반응 가스와 웨이퍼 캐리어 표면(77)의 효율적인 접촉을 제공한다.
본 발명의 일 실시예에서, 도 3 - 도 5에 최적으로 도시한 바와 같이, 상기 상부 흐름 가이드(32)는 조정가능한 비례 흐름 인젝터(APFI)(5)의 직경과 대략 같은 제1(상부)직경(D1) 및 웨이퍼 캐리어(76)의 직경(d3)과 대략 같은 제2(하부)직경(D2)을 갖는다. 도면에 도시된 바와 같이, 상기 제1직경(D1)은 제2직경(D2)보다 작다. 상기 제1직경(D1)은 제2직경(D2)의 약 0.2에서 0.5배인 것이 바람직하다. 상기 상부 흐름 가이드(32)는 정확하게 원뿔 형상이 아니라, 가이드가 하방향으로 연장되며 제2직경(D2)으로 접근하면서 나팔 형태로 넓어지는 것처럼 커브 형상이다. 상기 상부 흐름 가이드(32)는 균일하게 분포된 하방향-유동 가스 스트림이 웨이퍼 캐리어(76)를 향하여 안내되는 가스 흐름 패턴을 생성하고, 상기 가스 스트림은 또한 측방향으로 돌려져 확장되어, 반응기 챔버 체적(33) 내에서 가스의 재순환의 발생 없이 작은 직경의 흐름 인젝터(5)가 대략 큰 웨이퍼 캐리어(76) 위로 흐름을 균일하게 분포시키는데 이용될 수 있다.
상기 상부 흐름 가이드(32)의 커브형 또는 플래어형 프로파일은 거의 동등한 방사상 가스 속도를 제공한다. 이러한 구조를 갖는 상부 흐름 가이드(32)는 확장 원뿔 상부 흐름 가이드(32)로서 나타낸다. 이론에 구속되지는 않지만, 가스 흐름을 반경 외측 방향으로 이동시키기 위하여, 가스는 원통형 구조의 반경과 함께 증가하는 연속적으로 증가하는 단면적을 가로질러야 하고, 그 결과 흐름 속도는 감소한다. 대략 일정한 속도를 유지하기 위하여, 내포 구조(containing geometry)의 높이 (H1)는 점차 감소되어, 단면적(원주 곱하기 높이의 결과)은 대략 일정하게 유지되고, 이는 반경과 함께 원주가 증가하는 것을 중화시킨다.
상기 흐름 플랜지 어셈블리(3)는 상부 흐름 가이드(32)와 메인 플랜지 바디 (30) 사이에서) 상부 흐름 가이드(32) 뒤에 바로 위치되는 유체 갭(37)을 갖는 것이 바람직하다. 본 발명의 일 실시예에서, 상기 유체 갭(37)은 비교적 얇고(약 0.1 인치 이하), 이는 분당 약 1갤런의 유체 유량을 위하여 그리고 대략 물의 크기 정도 내의 밀도와 점도를 갖는 유체를 위하여, 유체 갭 내의 층류 흐름(laminar flow) 및 유체의 효율적인 사용량을 나타내는 3200보다 작은 레이놀드 수 값 (Reynold's number value)을 발생시킬 수 있다. 이러한 구성은 유체 사용량을 감소시키고 및/또는 저장기/재순환기 열교환기 시스템이 이용될 경우 유체 재순환기의 용량을 감소시킨다.
상기 흐름 플랜지 어셈블리(3)는 공기의 제거 및 역-흐름 열교환을 위하여 유체 갭(37)을 통하여 바닥부/외부에서 상부/내부로의 흐름을 더 포함할 수 있다. 즉, 유체는 반응기 체적에서 가스가 흐르는 방향으로부터 유체 갭을 통하여 역방향으로 흐른다. 상기 유체 갭을 통한 이러한 타입의 흐름 경로는 본 발명의 일 실시예에서 하나 이상의 공급관(미도시)을 통하여 선택적으로 아래에 있는 공급채널 (41)로부터 이루어진다. 각 공급채널(41)은 각 공급채널(41)의 단부에 가까운 하나 이상의 흐름 억제 오리피스(40)를 갖는다. 상기 흐름 억제 오리피스(40)는 유체의 동일한 유량이 유체 갭(37)으로 들어가기 바로 전에 각 공급채널을 통과하여 유체 갭(37)의 외주 주위에서 균일한 흐름 전달을 생성하도록 흐름을 충분히 억제한다. 유체는 유체 갭(37)을 통하여 방사상 내측방향으로 흐르고, 이어서 유체를 선택적으로 하나 이상의 리턴관(미도시)을 통하여 리턴채널(42)로 이동시키는 흐름 억제 오리피스(40)의 제2 세트를 통과한다. 유체는 공급채널 입구 튜브(45)를 통하여 공급되고, 유체 출구 튜브(46)를 통하여 리턴된다. 상기 유체 갭(37) 내에서의 유체의 흐름 특성은 반응기 챔버 체적(33) 내에서 온도의 균일성을 개선시키고, 이는 가스 흐름 프로파일의 균일성 및 증착 균일성을 향상시킨다. 상기 유체 갭(37)에서 바닥부/외부에서 상부/내부로의 흐름 패턴은 갭(37)으로부터 공기의 효과적인 제거 및 역-흐름 열교환을 야기시킨다.
상기 상부 흐름 가이드의 최외경(D2)에서(즉, 웨이퍼 캐리어(76)에 가까운 상부 흐름 가이드의 단부에서), 상부 흐름 가이드(32) 사이의 갭(43) 및 웨이퍼 캐리어(76)의 최외경(d3)에서의 웨이퍼 캐리어 상부 표면(77)은 대체로 웨이퍼 캐리어(76) 위로 분출된 가스의 재순환을 억제하거나 방지한다. 특히, 도 3 - 도 5에 도시된 바와 같이, 상기 웨이퍼 캐리어(76)는 중앙 회전 샤프트(75)의 상부에 있다. 상기 상부 흐름 가이드(32)의 최외경(D2)은 상부 흐름 가이드(32)가 웨이퍼 캐리어(76)에 가장 가까운 경우에 웨이퍼 캐리어의 최외경(d3)과 거의 같다. 이 점에서, 두 부분(H2) 사이의 분리는 최소값으로 되고, 상기 갭(43)은 반응기 챔버 체적 (33) 내에서 분출 가스의 재순환을 억제시키거나 막는 것을 용이하게 한다. 예를 들어, 상기 갭은 약 1.00 인치 이하, 예를 들어 약 0.25 인치 이하의 치수(H2)를 가질 수 있다.
상기 조정가능한 비례 흐름 인젝터 어셈블리(5)로부터 하방향으로 흐르는 가스는 반응기 챔버 체적(33) 내에서 측방향으로 바뀌어 방사상 외측방향으로 흐른다. 상기 가스가 갭(43)에 도달하는 경우에, 가스는 최대 흐름 속도를 달성할 수 있고, 갭(43)을 지나면 가스는 갭(43)에 가까운 배출 집합 구역(44)에서 확장 및 감속하기 시작하여, 이미 사용된 가스 혼합물(즉, 웨이퍼 캐리어(76) 및 그 위에서의 반응 영역으로부터 이동된 가스)이 역행하는 재순환을 방지한다.
본 발명의 바람직한 실시예에서, 확장 원뿔형 상부 흐름 가이드(32)를 갖는 반응기(1)는 또한 하부 흐름 가이드(72)를 포함한다(이하 상세하게 설명됨). 상기 하부 흐름 가이드(72)는 반응 구역으로 돌아가는 가스 재순환을 방지하고, 더 안정적인 전체 반응기 흐름 프로파일을 위하여 웨이퍼 캐리어의 외부 에지로부터 배출 포트로의 흐름의 원활함을 향상시키고, 보다 나은 온도 균일성 및 개선된 물질 특성을 위하여 웨이퍼 캐리어(76)의 외부 에지에서 열 손실을 줄인다.
본 발명의 일 실시예에 따른 조정가능한 비례 흐름 인젝터 어셈블리(5)가 도 11 - 도 18 및 도 25에 도시되어 있다. 상기 조정가능한 비례 흐름 인젝터는 공급 튜브로부터 복수의 가스 입구 스트림을 수용하며, 균일한 출구 흐름 속도를 위하여 이 흐름을 확산시키거나 분산시키고, 배출될 때까지 가스 흐름을 분리되게 유지시키는 흐름 인젝터이다. 선택적으로, APFI(5)는 가스가 조정가능한 비례 흐름 인젝터로 유출됨에 따라 가스의 온도를 조절한다. 상기 APFI(5)는 통상적으로 원통형 형상이며(원형 영역과 수직 높이), 흐름 플랜지 어셈블리(3) 내에 끼워진다. 원통형 APFI가 도면에 도시되어 있지만, APFI는 임의의 형상으로 이루어질 수 있고, 정확한 형상은 일반적으로 결합되는 상부 개방부(31)의 형상(영역)에 의하여 설명될 것이다. 예를 들어, 상기 상부 개방부(31)가 정사각형이거나 직사각형이면, 이때 APFI는 이에 일치될 수 있도록 대응한 정사각형 또는 직사각형 형상을 가질 것이다.
상기 조정가능한 비례 흐름 인젝터 어셈블리(5)는 대체로 지지 플랜지(51)에 결합되는 구성요소를 위한 구조적 일체성을 제공하는 지지 플랜지(51) 및 상기 지지 플랜지(51)를 관통하는 가스 챔버 입구 튜브나 포트(54)를 포함한다. 상기 지지 플랜지(51)는 전체의 조정가능한 비례 흐름 인젝터 어셈블리(5)의 메인 플랜지 바디(30)에의 결합을 더 제공한다.
상기 APFI(5)는 하나 이상의 가스 챔버(52)를 포함한다. 본 발명의 일 실시예에서, 하나 이상의 가스 챔버(50)는 가스 챔버 기계(52)로 가공될 수 있고, 복수의 가스 챔버 상부벽이나 표면(57) 및 가스 챔버 바닥벽이나 표면(58)으로 형성될 수 있다. 상기 가스 챔버 상부벽(57)은 도 16 및 도 17의 평면도에 도시된 바와 같이 상이한 구역을 형성하도록 가공될 수 있다. 상기 가스 챔버(50)는 가스 챔버 상부벽(57)으로부터 가스 챔버 바닥벽(58)으로 연장되는 가스 챔버 수직벽(59)에 의하여 다른 가스 챔버(50)와 분리되어 가스 챔버(50)를 형성한다. 상기 가스 챔버 상부벽(57)에 통합될 수 있는 하나 이상의 가스 입구(54)는 조정가능한 비례 흐름 인젝터(5)의 하나 이상의 가스 챔버(50)로, 예를 들어 수직방향(즉, 가스 챔버 상부벽(57)과 가스 챔버 바닥벽(58)에 거의 수직한 방향)으로 가스를 전달한다.
각 가스 챔버(50)는 서로 다른 가스 스트림을 수용할 수 있고, 하나 이상의 가스 챔버는 가스를 확산시키거나 분산시키며, 제1가스 스트림을 다른 가스 스트림으로부터 또는 각 가스 스트림을 다른 것과 분리 유지시키고, 특정 출구 표면적 위에서 균일한 흐름 속도를 생성할 수 있다. 또한, 각 가스 챔버(50)는 다른 가스 챔버(50)와 동일하거나 또는 상이한 형상으로 구성될 수 있다.
예를 들어, 도 16(지지 플랜지(51)가 도면으로부터 제거되어 있음)에 도시된 바와 같이, 외부 가스 챔버(50a), 4개의 중간 가스 챔버(50b, 50c) 및 내부 가스 챔버(50d)가 있다. 본 발명의 일 실시예에서, 상기 가스 챔버(50b)는 Ⅲ족 그룹의 반응물질을 수용하고, 중간 가스 챔버(50c)는 Ⅴ족 그룹의 반응물질을 수용한다. 상기 챔버(50a-50d)는 수직벽(59), 가스 챔버 상부벽(57)(미도시) 및 가스 챔버 바닥벽(58)에 의하여 분리된다.
상기 APFI(5)는 또한 하나 이상의 가스 챔버(50) 아래에 위치되는 유체 캐비티(60)를 포함할 수 있다. 상기 유체 캐비티(60)는 유체 캐비티 기계(53)의 가스 챔버 기계(52)에의 결합에 의하여 형성될 수 있다.
도 17은 조정가능한 비례 흐름 인젝터 어셈블리(5)의 일 실시예에 따른 하부를 나타낸 도면으로서, 유체 캐비티 기계(53)의 바닥면을 나타낸다. 가스 챔버 출구(61)는 가스 챔버의 바닥벽(58)으로부터 유체 캐비티(60)를 통하여, 예를 들어 도관 튜브(63)를 통하여 반응기 챔버 체적(33)으로 연장되거나 관통될 수 있다. 상기 도관 튜브(63)는 동일하거나 상이한 외부 직경 및 동일하거나 상이한 내부 직경을 가질 수 있다. 상기 유체 캐비티(60)를 통하여 도관 튜브(63)를 관통시키면, 유체 캐비티(60)를 통하여 흐르는 유체 온도의 적절한 제어에 의하여, 반응기 챔버 체적(33)으로 가스를 도입하기 전에 가스 온도를 조절할 수 있다. 상기 유체 캐비티(60)는 유체 캐비티 출구 튜브(67)에 연결되는 유체 캐비티(60)의 대략 중앙에 위치된 유체 캐비티 출구(66)를 갖는다. 또한, 유체 캐비티 입구(68)가 유체 캐비티(60)의 외주를 향하는 유체 캐비티 입구 튜브(69)를 통하여 제공된다.
유체 캐비티 디퓨저(65)(더 상세히 후술함)를 포함하는 본 발명의 일 실시예에서, 상기 유체 캐비티 출구(68)는 디퓨저(65)의 원주 내측에 위치되고, 유체 캐비티 입구(68)는 디퓨저(65)의 원주 외측에 위치된다.
상기 조정가능한 비례 흐름 인젝터 어셈블리(5)는 선택적으로 하나 이상의 다음 특징을 가질 수 있다. 본 발명의 일 실시예에서, 상기 가스 출구 구멍(61)은 가스 입구(54)보다 작은 크기인 것이 바람직하다(예를 들어, 약 100에서 10,000 가스 출구 구멍일 수 있음). 상기 가스 출구 구멍(61)의 수 및 유체 캐비티(60)를 통하여 연장되는 도관 튜브(63)의 내경과 길이는 특정 가스 조성, 유량, 온도 및 압력에 따라 좌우되고, 또한 가스 챔버의 바닥벽(58)의 총 표면적 및 제조성과 비용에 의하여 제한되고, 도관 튜브(63)의 외경과 내경이 감소에 따라 그리고 인접한 가스 출구 구멍(61)의 간격이 감소됨에 따라 장애 및 비용이 증가한다.
그러나, 일반적으로 모든 도관 튜브(63)의 총 단면적은 주어진 가스 챔버에 대한 가스 입구(54)의 단면적보다 2배 및 6배 사이의 큰 것이 바람직하다. 이러한 배열은 가스 입구(54)에 비하여 큰 벽의 표면적 및 작은-직경의 도관 튜브(63)의 대응하는 유체 전단력과 압력 강하를 고려하여, 주어진 가스 챔버의 도관 튜브의 세트를 가로지르는 압력 강하(즉, 가스 챔버로부터 반응기 챔버 체적(33)으로의 압력 강하)가 수 토르(Torr)에서 수십 토르인 것이 바람직하다.
상기 가스 챔버 상부벽(57) 및 가스 챔버 바닥벽은 대략 평행한 것이 바람직하다. 모든 가스 챔버의 상부벽/표면(57)은 대략 같은 평면에 있을 수 있고, 또는 서로 상이한 평면에 있을 수 있다. 마찬가지로, 모든 가스 챔버(50)의 가스 챔버 바닥벽(58)은 같은 평면 또는 서로 상이한 평면에 있을 수 있다.
상기 조정가능한 비례 흐름 인젝터 어셈블리(5)는 선택적으로 가스 챔버 상부벽(57)과 가스 챔버 바닥벽(58) 사이에 이들과 대략 평행한 하나 이상의 중간 분산 배플(baffle) 플레이트(55)를 포함할 수 있다. 상기 중간 분산 배플 플레이트 (55)가 이용되는 경우, 중간 분산 배플 플레이트(55)를 포함하는 가스 챔버(50)에 상부 가스 챔버 섹션(50a) 및 하부 가스 챔버 섹션(50b)이 형성된다. 예를 들어, 상부 가스 챔버 섹션(50a)이 가스 챔버 상부벽(57), 중간 분산 배플 플레이트(55)의 상부 표면 및 임의의 측벽(들)(59)에 의하여 형성될 수 있고, 하부 가스 챔버 섹션(50b)은 가스 챔버 하부벽(58), 중간 분산 배플 플레이트(55)의 하부 표면 및 임의의 측벽(들)(59)에 의하여 형성될 수 있다.
각 가스 챔버(50)의 가스 출구 구멍(61)은 유체 캐비티 기계(53)에 부착 또는 이외의 방법으로 결합될 수 있는 유체 캐비티(60)를 관통하는 출구 도관(바람직하게는 작은 직경의 튜브)(63)에 결합되어, 반응기 챔버 체적(33)의 경계 표면인 최하측에 가까운 하부 유체 캐비티 벽을 형성한다. 상기 출구 도관(63)은 가스 챔버 출구 구멍(61)의 조합된 세트와 매칭되는 구멍 패턴을 갖는 것이 바람직하다.
상기 조정가능한 비례 흐름 인젝터 어셈블리(5)의 다른 실시예는 균일하고 방사상 흐름 프로파일을 갖는 유체 온도 제어 구역과 관련된다. 온도 조절 유체, 예를 들어 냉각 유체는 외부 분포채널(62)로 유입된다. 본 발명의 일 실시예에서, 상기 유체 캐비티(60)는 유체 캐비티 디퓨저(65)를 갖는다. 상기 유체 캐비티 디퓨저(65)는 유체 캐비티(60)의 높이보다 약간 큰 높이를 갖는 얇은 원통형 시트 금속 링인 것이 바람직하고, 가능한 얇을 것이 바람직하다. 본 발명의 바람직한 실시예에서, 상기 원통형 시트 금속 링은 가스 챔버 기계(53)의 바닥 표면 및 유체 캐비티 기계(52)의 상부 표면에서 대향하는 원형 홈(grooves)에 삽입되고, 이러한 두 홈의 깊이의 합은 유체 캐비티 높이 이상의 흐름 분산 장벽의 부가적인 높이와 같은 것이 바람직하고, 이에 따라 유체 캐비티의 최외곽 주연에서 다수의 입구(68)에서의 유체 캐비티(60)에 전달된 유체는, 흐름 분산 장벽(65)에서 바람직하게는 같은 간격으로 이격된 복수의 작은 구멍(64)을 통하여 흐르기 전에 접선방향 (tangentially)으로 바로 이동해야 하고, 그 결과 유체 캐비티(60)의 최외곽 주연으로부터 유체 캐비티(60)의 중심 출구(66)에서 단일 출구(66)를 향하는 방사상 내측방향으로 균일한 흐름 분포를 발생시킨다. 상기 작은 구멍(64)은 각 구멍(64)을 통하여 동일한 흐름이 되도록 충분히 흐름을 억제하는 흐름 억제 오리피스로서 작용한다.
도 25a - 도 25c는 APFI를 제조하는 다른 방법을 나타낸 것이다. 이전에 설명된 모든 APFI 구성요소가 도시되어 있지는 않다. APFI의 제조 및 시험을 쉽고 효율적으로 증가시키기 위하여, APFI의 구성요소는 교환가능 모듈 또는 서브어셈블리로 조립될 수 있다. 예를 들어, 가스 출구 구멍 서브-어셈블리(150)는 상부 플레이트(151), 하부 플레이트(152) 및 다수의 도관(63)으로 구성될 수 있다. 상기 상부 플레이트(151)는 전술한 가스 챔버(50)의 바닥벽(58)을 구성한다. 상기 하부 플레이트(152)는 전술한 유체 캐비티 기계(53)의 바닥벽(58)의 일부를 구성한다.
이러한 실시예에서, 가스 챔버 기계(52)는 다수의 가스 출구 구멍 서브-어셈블리(150)를 수용하도록 구성되어, 상부 플레이트(151)의 상부 표면(153)이 전술한 가스 챔버 벽(59)의 하나 이상의 하부 표면(155)과 같은 평면상에서 결합한다. 인접한 가스 출구 구멍 서브-어셈블리(150)의 상부 플레이트(151) 사이의 이음매 (seam)는 가스 챔버 벽(59)의 주어진 하부 표면(155)의 중심선을 따라 내려와서, 형성된 유체 캐비티(63)와 가스 챔버(50) 사이의 누설을 방지하는 밀봉부가 형성될 수 있다.
도 25a - 도 25c에 도시된 실시예에서, 인접한 가스 출구 구멍 서브-어셈블리(150)의 하부 플레이트(152) 사이 및 주어진 가스 출구 구멍 서브-어셈블리(150)의 하부 플레이트(152)와 가스 챔버 기계(52)와 통합된 하부 유체 캐비티 벽(157)의 이음매는 유체 캐비티(63)와 반응기 챔버 체적(33) 사이의 누설을 방지하도록 밀봉될 수 있다. 본 발명의 일 실시예에서, 필요 없을 지라도, 각 가스 출구 구멍 서브-어셈블리(150)의 하부 표면(154)이 모든 다른 가스 출구 구멍 서브-어셈블리 (150)의 하부 표면(154) 및 가스 챔버 기계의 하부 표면(156)과 같은 평면상에 있게 하는 방법으로 밀봉될 수 있다. 따라서, 유체는 다수의 유체 캐비티 입구(68)를 통하여 유체 캐비티(63)로 전달되며, 하나 이상의 유체 캐비티 출구(66)를 통하여 유출되고, 유체 캐비티 디퓨저(65)(미도시)는 전술한 바와 유사한 방법으로 위치결정된다.
본 발명의 다른 실시예는 하나 이상의 방사상 패턴에서 대략 같은 간격으로 이격된 가스 출구의 패턴을 생성하는 방법에 관한 것이다. 이러한 방법에 따르면, 홀이 예를 들어 정사각형 또는 6각형 패턴과 같이 서로 같은 거리에 있도록 하나 이상의 원형 홀 패턴이 배열된다. 조정가능한 비례 흐름 인젝터 가스 챔버를 포함하는 방사상 구역의 경우에, 홀이 대략 서로 같은 거리 및 영역 경계에 있도록 홀을 분포하는 방법을 포함할 수 있다.
이러한 방법은 일반적으로 (1) 홀 사이에서 방사상 방향으로 같은 공간을 갖도록, 제1 방사상 영역 경계에 인접하며 평행한 제1라인에 제1세트의 홀을 배치하는 단계, (2) 기계의 중심축으로부터 제1 방사상 거리의 제1 라인에서의 제1 지점과 제2 방사상 영역 경계에 인접하며 평행한 제2 라인에서의 대응하는 제2 지점 사이에서, 기계의 중심축에 정점(vertex)을 갖는 각도를 결정하는 단계, (3) 제1 방사상 영역 경계에 인접하게 놓인 주어진 반경(radius)에서의 제1홀과 대응하는 제2 방사상 영역 경계에 인접하게 놓인 동일한 반경에서의 대응하는 제2홀 사이에서, 가스 챔버 기계의 중심에 원점을 갖는 호(arc)의 길이를 결정하는 단계, (4) 요구되는 중심 대 중심(center-to-center) 홀의 간격 거리에 의하여 상기 호의 길이를 분할하는 단계 및 (5) 결과 수를 근사 정수로 마무리하는 단계를 포함한다. 상기 (2) - (5) 단계는 (1) 단계에서 설명된 세트를 포함하는 각 홀에 대하여 반복된다. 이러한 방법은 홀의 방사상 세트 사이에서의 동일한 분리 및 홀의 각 방사상 세트 내에서 홀의 거의 동일한 분리를 갖는 홀 패턴을 생성한다. 특히, 이러한 방법은 작은 영역 위에서 원형 또는 반원형 패턴으로 대략 같은 거리의 홀 세트를 생성하는데 유용하고, 홀 간격에서의 불규칙성은 큰 영역 상에서의 패턴을 위한 것보다 더 중요하다.
또한 도 17에 도시된 바와 같이, 반응기는 구역 분리 장벽이 없는 조정성을 갖는 가스 분포 구역을 포함할 수 있다. 이러한 실시예에서, 반응기는 둘 이상의 가스 입구 튜브(54) 및 조정가능한 출구 흐름 패턴을 생성하는 구조적인 기능을 하는 복수의 출구 홀(61)을 포함한다. 이론에 구속되지는 않지만, 하나 이상의 입구 튜브(54)로 흐르는 양을 증가 또는 감소시킴으로써, 임의의 입구 튜브(54) 사이에서 별개의 임의의 수직 분리벽(59)을 갖지 않는, 출구 흐름 홀을 가질 수 없는 분리벽 아래의 영역에 의하여 통상적으로 생성될 수 있는 정체 영역(stagnation areas)이 제거된다.
상기 조정가능한 비례 흐름 인젝터 어셈블리(5)는 세정 및/또는 배플의 변화를 위하여 하나 이상의 O-링 밀봉 챔버 상부와 같은 하나 이상의 밀봉 챔버 상부를 더 포함할 수 있다. 본 발명의 바람직한 실시예에서, 가스 챔버 기계(52)는 가스 챔버 구역 상부벽(57)을 제거하는, 가스 챔버를 분리하는 수직벽(59)의 상부 표면에 가공되는 O-링 홈을 포함한다. 이는 수직벽의 상부 표면을 따라 놓인 O-링이 지지 플랜지(51)의 하부 표면 또는 복수의 용접 표면보다는 오히려 다른 단일 중간 밀봉 표면에 직접 밀봉할 수 있기 때문이다. 이러한 구성에 의하여 가스 챔버는 개방 및 세정되거나 검사될 수 있고, 필요 부품의 수를 줄일 수 있다.
본 발명의 다른 실시예에서, 상기 조정가능한 비례 흐름 인젝터 어셈블리(7)는 도 18에 최적으로 도시한 바와 같이, 진공 장벽 구역을 갖는 이중 O-링 밀봉을 포함한다. 이중 O-링 밀봉은 가스 챔버 기계(52) 및 유체 캐비티 기계(53)에서 O-링 홈(92)의 O-링(91)에 의하여 생성된다. 하나의 O-링(91a)은 가스 챔버 기계(52)와 메인 플랜지 바디(30) 사이에 위치된다. 제2 O-링(91b)은 유체 캐비티 기계(53)와 메인 플랜지 바디(30) 사이에 위치된다. APFI, 메인 플랜지 바디(31) 및 O-링 (91) 사이에 진공 캐비티(93)가 생성된다. 차동(differential) 밀봉 진공 포트 튜브(94)는 진공 밀봉을 생성 및 해제시키기 위하여 메인 플랜지 바디(31)에 포함된다. 이러한 구성은 각 밀봉의 어느 한 측면에서보다 두 O-링 밀봉 사이의 체적에 생성된 상당히 낮은 진공 정도로 인하여 O-링 탄성물질의 가스 분자 투과를 거부하는 동안에 조정가능한 비례 흐름 인젝터(5)의 제거를 용이하게 한다.
챔버 어셈블리(7)의 실시예가 도 19 - 도 20 및 도 3 - 도 5에 도시되어 있다. 상기 챔버 어셈블리(7)는 반응기 베이스플레이트 메인 바디(70)를 갖는다. 상기 반응기 베이스플레이트 메인 바디는 반응기 자르 벽(reactor jar wall)(101)을 통하여 반응기 자르 상부 플랜지(100)에 연결된다. 상기 반응기 자르 상부 플랜지 (100)는 흐름 플랜지 어셈블리(3)의 메인 플랜지 바디(30)와 결합한다. 상기 베이스 플레이트 메인 바디(70)는 중앙 회전 샤프트(75)(더 상세히 후술함), 베이스플레이트 배출 튜브(79); (혼선될 수 있어서 디자인 및 다른 도면에 현재는 포함되어 있지 않으나, 나중의 디자인에 일부를 이용할 수 있기 때문에 남겨둠); 고전류 피드스루(high current feedthrough)(90); 및 회전 진공 피드스루 하우징(88)과 같은 CVD 반응기에서 유용한 다수의 구성요소를 위한 포트를 포함한다.
상기 챔버 어셈블리(7)는 웨이퍼 캐리어(76)를 가열하기 위한 열 반사 쉴드 및 열원을 포함하는 히터 어셈블리와 같은 CVD 반응기에서 통상적으로 보여지는 구성요소를 갖는다. 본 실시예에서, 하나 이상의 가열요소(83)가 웨이퍼 캐리어(76) 아래에 위치되고, 하나 이상의 열 쉴드(84)가 가열요소(83) 아래에 위치된다. 예를 들어, 상기 열원은 방사열용 필라멘트 또는 유도열용 구리 튜브일 수 있고, 웨이퍼 캐리어의 원형 영역에 맞추기 위하여 동심의(concentric) 원형 패턴에 배치되는 것이 바람직하다. 히터 어셈블리의 다른 타입이 웨이퍼 캐리어(76)를 가열하기 위하여 이용될 수 있다.
상기 챔버 어셈블리(7)는 하부 흐름 가이드(72)를 갖는다. 상기 하부 흐름 가이드(72)는 원뿔대 형상(frustoconical shape)을 갖는다. 상기 원뿔형 하부 흐름 가이드(74)는 내경(d1) 및 외경(d2)을 갖는다. 상기 내경(d1)은 웨이퍼 캐리어(76)의 외경(d3)보다 약간 큰 것이 바람직하고, 상기 내경(d1)은 웨이퍼 캐리어(76)의 외경(d3)과 대략 같거나, 작거나 또는 클 수 있다. 상기 하부 흐름 가이드(72)는 웨이퍼 캐리어(76)의 상부 표면(77)과 거의 정렬된다. 상기 하부 흐름 가이드(72)의 외경(d2)은 하방향으로 경사면을 생성하는 내경(d1)보다 크다.
본 발명의 바람직한 실시예에서, 상기 내경(d1)은 웨이퍼 캐리어(76)의 외경 (d3)보다 약간 크다. 상기 하부 흐름 가이드(72)의 내경(d1)과 웨이퍼 캐리어(76)의 외경 사이의 공간은 웨이퍼 캐리어(76)의 외부 에지 아래에서 분출된 가스의 재순환을 점차적으로 확장하고 억제나 방지하기 위하여 웨이퍼 캐리어(76)와 상부 흐름 가이드(32) 사이의 갭(43)으로부터 가스가 분출되게 한다. 상기 하부 흐름 가이드의 내경(d1)과 웨이퍼 캐리어(76)의 외경은 둘 사이에 좁은 하부 흐름 가이드 갭을 제공하도록 매우 근접하고, 하부 흐름 가이드 갭이 좁아질수록 가스의 충분한 분출이 일어나며 반응기 챔버 체적(33) 내에서 가스의 재순환을 더 억제 및 방지한다. 바람직한 실시예에서, 상기 하부 흐름 가이드(72)는 흑연(graphite)으로 제조된다.
상기 챔버 어셈블리(7)는 하부 흐름 가이드 반사기(74)를 포함한다. 상기 하부 흐름 가이드 반사기(74)는 하부 흐름 가이드(72) 내에 위치되며, 웨이퍼 캐리어 (76)의 원주로부터 연장되고 하방향으로 경사진다. 상기 반사기(74)는 얇은 금속 조각, 바람직하게는 몰리브덴으로 구성된다. 상기 반사기(74)는 내측 방향으로 열을 반사시키는 작용을 하며 하부 흐름 가이드(72)의 표면 상에서 열을 일정하게 유지시킨다.
본 발명의 일 실시예에서, 상기 하부 흐름 가이드(72)는 두-부분의 하부 흐름 가이드(72)와 같은 하나 이상의 섹션(section)이나 부분(pieces)으로 이루어진다. 상기 하부 흐름 가이드(72)와 웨이퍼 캐리어(76) 사이의 밀접한 간격 및 상기 웨이퍼 캐리어(76)가 공정 동안에 도달하는 고온으로 인하여, 다른 실시예에서 상기 하부 흐름 가이드(72)는, 우수한 온도 내성과 웨이퍼 캐리어(76)의 물질(통상적으로 흑연, 사파이어, 또는 내열성 금속)와 거의 같거나 유사한 열팽창계수를 갖는 물질로 제조된 웨이퍼 캐리어(76)에 바로 인접한 제1부분 및 상기 제1부분을 이루는 물질보다 덜 비싸며 더 쉽게 형성된 물질과 같이 상기 온도 내성 또는 열팽창계수를 갖지 않는 물질로 제조된 제2부분을 갖는다. 바람직한 실시예에서, 상기 제1부분은 적절한 온도 내성 및 웨이퍼 캐리어 물질와 일치하는 열팽창계수를 제공하기 위하여 흑연으로 제조된다.
상기 하부 흐름 가이드(72)는 부분적으로 또는 전체적으로 웨이퍼를 유지하는 웨이퍼 캐리어(76)의 표면의 직경(d3)으로부터 연장되는 웨이퍼 캐리어의 연장부, 즉 웨이퍼를 유지하는 웨이퍼 캐리어 표면(77)의 외부 에지 프로파일일 수 있다. 이 실시예에서, 상기 하부 흐름 가이드(76)의 일부나 모두는 바람직하게는 웨이퍼 캐리어 상부 표면(77) 또는 하부 표면(78)의 외주로부터, 또는 이들 사이의 원주를 따르는 일부 지점에서의 웨이퍼 캐리어의 연장부이다. 특정 실시예에서, 상기 하부 흐름 가이드(72)는 웨이퍼 캐리어 외경(76)과 상부 흐름 가이드(72) 사이의 좁은 갭(40)으로부터 처음 몇 센치미터 내인 웨이퍼 캐리어(76)의 연장부인 제1섹션 및 웨이퍼 캐리어(76)와 완전히 분리되며 제1부분에 인접한 분리 부분으로 형성되는 제2부분을 갖는다.
상기 반응기용 웨이퍼 캐리어(76)는 통상적인 일체의 구조를 가질 수 있지만, 다른 구조를 갖는 실시예도 본 발명의 범위 내에 있다. 예를 들어, 본 발명의 실시예에서, 반응기는 제거가능한 상부(즉, 웨이퍼를 유지하는 플래터(platter)나 표면)와 바닥부로 이루어진 두 부분의 웨이퍼 캐리어(76)를 포함할 수 있다. 상기 제거가능한 상부는 다수의 물질, 바람직하게는 사파이어로 이루어질 수 있고, 바닥부는 흑연으로 이루어질 수 있고, 바닥부의 유도열 및 제거가능한 상부와 제거가능한 상부의 표면에서의 임의의 웨이퍼의 전도열을 위한 가열된 RF와 같은 가열수단을 더 포함할 수 있다. 상기 두 부분의 웨이퍼 캐리어는 바닥부가 재이용될 수 있는 동안에 필요시에 대체되는 제거가능한 상부를 가질 수 있다.
예를 들어, 본 발명의 일 실시예에서, 두 부분의 웨이퍼 캐리어는 웨이퍼를 유지하기 위한 사파이어 제거가능한 상부 및 상기 사파이어 제거가능한 상부를 지지하는 흑연 바닥부를 가질 수 있다. 상기 사파이어 상부는 비-다공성이며 분해되지 않고, SiC 캡슐화제(encapsulant)와 같이 통상적으로 이용되는 표면으로 된다. 상기 사파이어 제거가능한 상부는 흑연 웨이퍼 캐리어에서 용이하게 수행되지 않는 빠른 습식 화학 에칭과 같이 더 철저히 세정될 수도 있다. 상기 흑연 바닥 부분은, 제거가능한 상부의 상부 표면에서 가공될 수 있는 웨이퍼 포켓 내에서와 같이, 제거가능한 상부 및 제거가능한 상부의 표면위의 웨이퍼로 전도성 열을 전달하기 위한 열 흡수체이다.
본 발명의 다른 실시예에서, 웨이퍼 캐리어(76)는 웨이퍼 캐리어(76)의 바닥 표면(78)의 중심으로부터 하방향으로 연장되는 샤프트(75)인 중앙 회전 샤프트(75)의 일부와 일체로, 즉 일부에 직접 기계가공되게 이루어진다. 상기 중앙 샤프트 (75)(또는 중앙 회전 샤프트(75))는 가열 코일을 통하여 하방향으로 연장되고, 가열을 위한 적절한 물질, 예를 들어 유도열을 위한 적절한 물질로 이루어진다. 이러한 중앙 회전 샤프트(75)는 웨이퍼 캐리어(76)의 주요부가 있는 그대로 가열될 수 있고, 통상적인 지지 스핀들 샤프트에 발생할 수 있는 전도성 열 손실에 열적 장벽을 제공한다.
상기 웨이퍼 캐리어(76)용 중앙 회전 샤프트(75)는 통상적으로 일체 구조일 수 있지만, 다른 구조를 갖는 실시예가 이용될 수 있다. 예를 들어, 도 21 - 도 24에 도시된 바와 같은 실시예에서, 웨이퍼 캐리어를 회전시키기 위한 다수-세그먼트 샤프트(75), 즉 동일 물질이나 상이한 물질로 이루어진 하나 이상의 세그먼트를 포함하는 샤프트가 이용된다. 다수-세그먼트 실시예에서, 적어도 하나의 세그먼트는 이용된 나머지 샤프트 세그먼트(들)보다 대략 낮은 열 전도성을 가질 것이다. 상기 다수-세그먼트 스핀들은 특히 방사 가열기와 관련하여 유용하지만 본 발명이 이러한 점에 제한될 필요는 없다.
도 21 - 도 24에 도시된 실시예에서는 3개의 세그먼트가 있다. 샤프트 상부 세그먼트(81)는 웨이퍼 캐리어(76)와 직접 접촉한다. 상기 샤프트 상부 세그먼트 (81)는 웨이퍼 캐리어(76)의 바닥 표면(78)이 있는 가장 가까운 단부에 서셉터 (susceptor)나 플랜지(82)를 갖는다. 방사 가열기가 이용되는 경우, 상기 상부 세그먼트는 다수-세그먼트 샤프트(75) 중 하나 이상의 나머지 세그먼트(들)보다 낮은 열 전도성을 갖는 알루미늄이나 사파이어 같은 물질로 제조되는 것이 바람직하다. 이러한 물질의 선택은 가능한 높은 열 전달 저항성을 생성한다. 상기 다수-세그먼트 중앙 샤프트(75)와 웨이퍼 캐리어(76) 사이의 세그먼트 인터페이스는 열 전달 저항성을 더 향상시키기 위하여 최소의 표면으로 설계될 수 있다. 이러한 특징은 웨이퍼 캐리어 중앙 영역 근처의 온도 균일성을 향상시키고, 반응기의 작동에 있어서 에너지 손실을 줄인다.
또한, 반응기에서 유도 가열기가 이용되는 경우, 웨이퍼 캐리어와 접촉하는 세그먼트(샤프트 상부 세그먼트(81))는 유도 가열 코일을 통하여 하방향으로 연장된다. 이 경우, 상기 상부 세그먼트(81)는 유도 가열에 적절한 물질로 이루어진다. 예를 들어, 상기 반응기에서 유도 가열기가 이용되는 경우, 다수-세그먼트 중앙 샤프트(75)의 상부 세그먼트(81)는 흑연으로 구성되는 것이 바람직하다.
본 발명의 일 실시예에서, 상기 다수-세그먼트 샤프트(75)는 사파이어와 같이 쉽게 열을 유도하지 않는 물질로 구성되는 샤프트 하부 세그먼트(85)를 갖는다. 상기 샤프트 상부 세그먼트(81)와 샤프트 하부 세그먼트(85)는 바람직하게는 알루미나로 구성되는 스페이서(86)를 통하여 연결된다. 상기 3개(또는 그 이상)의 세그먼트 사이의 인터페이스는 가능한 높은 열 전달 저항성을 생성하기 위하여 최소의 표면 접촉 면적을 갖는 것이 바람직하다. 상기 표면적은 세그먼트 단부의 원주 주위에서 얇은 레일(96)을 생성하기 위하여 도 24에 도시된 인터페이스 지점에서의 세그먼트에 기계가공된 리세스(recesses)(87)를 포함함으로써 감소될 수 있다. 상기 세그먼트 사이의 접촉은 세그먼트 단부의 전체 영역과는 대조적으로 얇은 레일 (96)에서만 발생한다. 상기 세그먼트는 통기된(vented) 헤드 캡 스크류(97)에 의하여 고정되는 것이 바람직하다.
이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의하여 한정되는 것은 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다. 따라서, 본 발명은 바람직한 실시예의 문맥에서 설명되지만, 본 발명의 완전한 범위는 다음의 청구항의 범위를 참조하여 고려될 수 있다.

Claims (27)

  1. 메인 플랜지 바디 및 메인 플랜지 바디에 연결되는 확장 원뿔형 상부 흐름 가이드를 포함하고, 확장 원뿔형 상부 흐름 가이드와 메인 플랜지 바디 사이에 제1 유체 갭이 형성되어 있는 흐름 플랜지 어셈블리;
    상기 흐름 플랜지 어셈블리의 상부에 연결되는 흐름 인젝터; 및
    상기 흐름 플랜지 어셈블리의 바닥부에 연결되는 웨이퍼 캐리어를 포함하고,
    상기 확장 원뿔형 상부 흐름 가이드와 웨이퍼 캐리어 사이에 제2 갭이 형성되고, 확장 원뿔형 상부 흐름 가이드는 웨이퍼 캐리어를 향하여 하방향으로 연장됨에 따라 나팔 형태로 넓어지는 형상을 갖고,
    상기 확장 원뿔형 상부 흐름 가이드, 흐름 인젝터 및 웨이퍼 캐리어는 반응기 챔버 체적을 형성하고, 제2 갭은 화학 기상 증착 반응기의 작동 동안에 반응기 챔버 체적 내에서 분출된 가스의 재순환을 억제하도록 최소값으로 되는 것을 특징으로 하는 화학 기상 증착 반응기.
  2. 제1항에 있어서, 상기 제1 유체 갭의 두께는 0.1 인치 이하인 것을 특징으로 하는 화학 기상 증착 반응기.
  3. 제2항에 있어서, 상기 제1 유체 갭의 바닥 또는 외부에서 제1 유체 갭과 유체 연통하는 제1 채널 및 상기 제1 유체 갭의 상부 또는 내부에서 제1 유체 갭과 유체 연통하는 제2 채널을 더 포함하고, 유체는 제1 채널 및 제2 채널 중의 하나의 채널에서 다른 채널로 흘러 확장 원뿔형 상부 흐름 가이드의 외부 표면의 온도를 효율적으로 제어하는 화학 기상 증착 반응기.
  4. 제3항에 있어서, 상기 유체는 가스가 반응기 체적에서 흐름 인젝터로부터 웨이퍼 캐리어로 흐르는 방향으로부터 제1 유체 갭을 통하여 역방향으로 흐르는 것을 특징으로 하는 화학 기상 증착 반응기.
  5. 제1항에 있어서, 상기 확장 원뿔형 상부 흐름 가이드는 흐름 인젝터의 직경과 같은 상부 직경 및 웨이퍼 캐리어의 직경과 같은 하부 직경을 갖고, 상기 상부 직경은 하부 직경보다 작고, 제2 갭의 최소값은 1.00 인치 이하인 것을 특징으로 하는 화학 기상 증착 반응기.
  6. 제1항에 있어서, 상기 흐름 인젝터는 흐름 플랜지 어셈블리에서의 결합 포트에 연결되고, 하나 이상의 공급 튜브, 공급 튜브로부터 가스 스트림을 수용하는 하나 이상의 가스 챔버, 가스 챔버 아래의 유체 캐비티 및 가스 챔버에서 유출되며 유체 캐비티를 관통하는 하나 이상의 출구 도관을 포함하는 화학 기상 증착 반응기.
  7. 제1항에 있어서, 상기 흐름 인젝터는 유출되기 전에 분리되는 하나 이상의 가스 스트림을 유지하기 위한 수단을 갖고, 상기 어셈블리는 가스가 어셈블리에서 유출됨에 따라 가스의 온도를 조절하기 위한 수단을 갖는 화학 기상 증착 반응기.
  8. 제1항에 있어서, 상기 흐름 플랜지 어셈블리에 연결된 챔버 어셈블리를 더 포함하고, 상기 챔버 어셈블리는 원뿔 형상의 하부 흐름 가이드를 포함하는 화학 기상 증착 반응기.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
KR1020107006204A 2007-10-11 2008-10-09 화학 기상 증착 반응기 KR101177983B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97918107P 2007-10-11 2007-10-11
US60/979,181 2007-10-11
PCT/US2008/079301 WO2009049020A2 (en) 2007-10-11 2008-10-09 Chemical vapor deposition reactor

Publications (2)

Publication Number Publication Date
KR20100070333A KR20100070333A (ko) 2010-06-25
KR101177983B1 true KR101177983B1 (ko) 2012-08-29

Family

ID=40549831

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107006204A KR101177983B1 (ko) 2007-10-11 2008-10-09 화학 기상 증착 반응기

Country Status (6)

Country Link
US (3) US8778079B2 (ko)
EP (1) EP2215282B1 (ko)
JP (1) JP2011500961A (ko)
KR (1) KR101177983B1 (ko)
CN (1) CN101802254B (ko)
WO (1) WO2009049020A2 (ko)

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8778079B2 (en) * 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8895107B2 (en) * 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP5410348B2 (ja) * 2010-03-26 2014-02-05 株式会社豊田中央研究所 表面処理装置
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012092064A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer processing with carrier extension
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103014667B (zh) * 2011-09-23 2015-07-01 理想能源设备(上海)有限公司 化学气相沉积装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN105088187B (zh) * 2011-11-23 2018-09-18 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
US9816184B2 (en) * 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
DE102012205616B4 (de) * 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9691641B2 (en) * 2012-12-13 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of cleaning wafers
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9388493B2 (en) 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
CN106304597B (zh) 2013-03-12 2019-05-10 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
KR200486353Y1 (ko) * 2013-03-12 2018-05-09 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 시스템을 위한 윈도우 조립체
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN103436862B (zh) * 2013-08-06 2015-04-22 中国电子科技集团公司第四十八研究所 一种用于mocvd反应器的支撑轴及mocvd反应器
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
KR101500546B1 (ko) * 2014-07-07 2015-03-12 (주)앤피에스 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9657845B2 (en) * 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN104264217B (zh) * 2014-10-20 2017-06-16 佛山市中山大学研究院 一种制备半导体外延片的mocvd反应装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102372893B1 (ko) 2014-12-04 2022-03-10 삼성전자주식회사 발광 소자 제조용 화학 기상 증착 장치
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
CN104498904B (zh) * 2014-12-29 2017-04-26 华中科技大学 一种用于mocvd设备的喷淋头
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101653987B1 (ko) * 2015-04-10 2016-09-05 엔비스아나(주) 기판 오염물 분석 장치 및 기판 오염물 분석 방법
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
ITUB20160556A1 (it) * 2016-02-08 2017-08-08 L P E S P A Suscettore con perno riscaldato e reattore per deposizione epitassiale
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
WO2018051304A1 (en) * 2016-09-19 2018-03-22 King Abdullah University Of Science And Technology Susceptor
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN106480423B (zh) * 2016-10-31 2019-01-11 山东赛帝格新材料有限责任公司 一种沉积石墨烯薄膜的设备及其沉积方法
US10115856B2 (en) * 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
DE102018130139A1 (de) * 2018-11-28 2020-05-28 Aixtron Se Gaseinlassvorrichtung für einen CVD-Reaktor
WO2020112764A1 (en) * 2018-11-28 2020-06-04 Lam Research Corporation Pedestal including vapor chamber for substrate processing systems
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
SG11202112203VA (en) * 2019-05-15 2021-12-30 Applied Materials Inc Dynamic multi zone flow control for a processing system
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR102260972B1 (ko) * 2019-07-24 2021-06-04 (주)유니버셜스탠다드테크놀러지 기판 처리 장치
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
WO2021026222A1 (en) * 2019-08-05 2021-02-11 Ichor Systems, Inc. Seal for a flow restrictor
US11841036B2 (en) 2019-08-05 2023-12-12 Ichor Systems, Inc. Laminar flow restrictor and seal for same
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022040389A1 (en) * 2020-08-19 2022-02-24 The Regents Of The University Of California Chemical reaction and conversion in thermally heterogeneous and non-steady-state chemical reactors
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114293174A (zh) * 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) * 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113445129A (zh) * 2021-06-21 2021-09-28 无锡吴越半导体有限公司 一种防止工艺气体回流的气相外延反应腔结构
US20230019430A1 (en) * 2021-07-13 2023-01-19 Changxin Memory Technologies, Inc. Gas injector and diffusion furnace device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114105679B (zh) * 2021-11-25 2023-05-16 西安鑫垚陶瓷复合材料有限公司 化学气相渗透导流设备及利用其制备陶瓷复材管件的方法

Family Cites Families (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3398718A (en) * 1965-03-10 1968-08-27 Atomic Energy Commission Usa Fluidized-bed coating apparatus
DE1521538A1 (de) 1966-02-25 1969-09-11 Telefunken Patent Verfahren zum Herstellen duenner Oxidschichten auf Halbleiterkoerpern oder anderen Substraten
US3621812A (en) * 1969-06-18 1971-11-23 Texas Instruments Inc Epitaxial deposition reactor
US3673983A (en) 1970-04-27 1972-07-04 Rca Corp High capacity deposition reactor
US4392453A (en) 1981-08-26 1983-07-12 Varian Associates, Inc. Molecular beam converters for vacuum coating systems
JPS6097622A (ja) 1983-11-01 1985-05-31 Toshiba Mach Co Ltd エピタキシヤル装置
US4579080A (en) 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
US4596208A (en) 1984-11-05 1986-06-24 Spire Corporation CVD reaction chamber
US4714091A (en) 1985-06-10 1987-12-22 Emcore, Inc. Modular gas handling apparatus
US4772356A (en) 1986-07-03 1988-09-20 Emcore, Inc. Gas treatment apparatus and method
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4969416A (en) 1986-07-03 1990-11-13 Emcore, Inc. Gas treatment apparatus and method
DE3721636A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Quarzglasreaktor fuer mocvd-anlagen
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
FR2628984B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a planetaire
DE3918094A1 (de) 1989-06-02 1990-12-06 Aixtron Gmbh Verfahren zur herstellung von dotierten halbleiterschichten
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH04175294A (ja) 1990-11-09 1992-06-23 Fujitsu Ltd 気相成長装置
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5173336A (en) 1991-01-22 1992-12-22 Santa Barbara Research Center Metal organic chemical vapor deposition (MOCVD) reactor with recirculation suppressing flow guide
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
JP2766433B2 (ja) * 1992-07-23 1998-06-18 株式会社東芝 半導体気相成長装置
JP3115134B2 (ja) 1992-11-27 2000-12-04 松下電器産業株式会社 薄膜処理装置および薄膜処理方法
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
KR100427425B1 (ko) * 1995-04-20 2005-08-01 가부시키 가이샤 에바라 세이사꾸쇼 박막증착장치
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
FR2737588B1 (fr) * 1995-08-01 2001-11-02 Kodak Pathe Nouveau produit pour radiographie industrielle
US6053982A (en) * 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US5534068A (en) 1995-10-27 1996-07-09 Specialty Coating Systems, Inc. Parylene deposition apparatus including a tapered deposition chamber and dual vacuum outlet pumping arrangement
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
TW322602B (ko) * 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
JP3901252B2 (ja) * 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US5835678A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
AU5461998A (en) 1996-11-27 1998-06-22 Emcore Corporation Chemical vapor deposition apparatus
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5759281A (en) 1997-06-30 1998-06-02 Emcore Corporation CVD reactor for uniform heating with radiant heating filaments
JPH1167675A (ja) 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd 高速回転気相薄膜形成装置及びそれを用いる高速回転気相薄膜形成方法
WO1999031013A1 (en) 1997-12-15 1999-06-24 Advanced Silicon Materials, Inc. Chemical vapor deposition system for polycrystalline silicon rod production
KR100524204B1 (ko) 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
KR100626474B1 (ko) 1998-02-18 2006-09-20 아익스트론 아게 화학 기상 증착 반응기 및 그의 사용방법
US6080241A (en) 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
JP2000114184A (ja) 1998-10-02 2000-04-21 Toshiba Corp 半導体ウェーハの気相成長装置
DE19855637A1 (de) 1998-12-02 2000-06-15 Aixtron Ag Verfahren und System zur Halbleiterkristallherstellung mit Temperaturverwaltung
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6313443B1 (en) * 1999-04-20 2001-11-06 Steag Cvd Systems, Ltd. Apparatus for processing material at controlled temperatures
US6368404B1 (en) 1999-04-23 2002-04-09 Emcore Corporation Induction heated chemical vapor deposition reactor
US6349270B1 (en) 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
US6214121B1 (en) 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US6530992B1 (en) 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
WO2001007691A1 (en) 1999-07-26 2001-02-01 Emcore Corporation Apparatus for growing epitaxial layers on wafers
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
TW469491B (en) 1999-11-18 2001-12-21 Tokyo Electron Ltd Silylation treatment unit and method
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
DE50100603D1 (de) 2000-02-04 2003-10-16 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
US7473316B1 (en) 2000-04-12 2009-01-06 Aixtron Ag Method of growing nitrogenous semiconductor crystal materials
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
WO2002024985A1 (de) * 2000-09-22 2002-03-28 Aixtron Ag Gaseinlassorgan für cvd-verfahren und vorrichtung
DE10064944A1 (de) 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
US6492625B1 (en) 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
KR100814980B1 (ko) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
DE10055182A1 (de) 2000-11-08 2002-05-29 Aixtron Ag CVD-Reaktor mit von einem Gasstrom drehgelagerten und -angetriebenen Substrathalter
WO2002045561A2 (en) 2000-11-20 2002-06-13 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
JP2004516678A (ja) 2000-12-23 2004-06-03 アイクストロン、アーゲー 半導体基板処理装置および処理方法
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6506252B2 (en) 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6547876B2 (en) 2001-02-07 2003-04-15 Emcore Corporation Apparatus for growing epitaxial layers on wafers by chemical vapor deposition
JP2002235173A (ja) 2001-02-08 2002-08-23 Hitachi Ltd プラズマcvd装置及び堆積膜クリーニング方法
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6596133B1 (en) 2001-06-14 2003-07-22 Cvc Products, Inc. Method and system for physically-assisted chemical-vapor deposition
US6797108B2 (en) * 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
DE10151259A1 (de) 2001-10-17 2003-04-30 Aixtron Ag Verfahren und Vorrichtung zur Gewinnung von Korrelations-Werten aus Prozessparametern und Schichteigenschaften in einem CVD-Prozess
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
WO2003035927A2 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
TW561526B (en) 2001-12-21 2003-11-11 Aixtron Ag Method for depositing III-V semiconductor layers on a non-III-V substrate
JP2006512748A (ja) 2001-12-21 2006-04-13 アイクストロン、アーゲー Iii−v半導体皮膜を非iii−v基板に沈積する方法
JP3912208B2 (ja) * 2002-02-28 2007-05-09 東京エレクトロン株式会社 熱処理装置
US7524532B2 (en) 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
DE10232731A1 (de) 2002-07-19 2004-02-05 Aixtron Ag Be- und Entladevorrichtung für eine Beschichtungseinrichtung
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
DE10320597A1 (de) 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
EP1660697B1 (en) 2003-08-20 2012-04-18 Veeco Instruments Inc. Vertical flow rotating disk reactor and method using the same
WO2005026401A2 (de) 2003-09-17 2005-03-24 Aixtron Ag Verfahren und vorrichtung zur schichtenabscheidung unter verwendung von nicht-kontinuierlicher injektion
DE102004021578A1 (de) 2003-09-17 2005-04-21 Aixtron Ag Verfahren und Vorrichtung zur Abscheidung von ein-oder mehrkomponentigen Schichten und Schichtfolgen unter Verwendung von nicht-kontinuierlicher Injektion von flüssigen und gelösten Ausgangssubstanzen über eine Mehrkanalinjektionseinheit
US7235139B2 (en) 2003-10-28 2007-06-26 Veeco Instruments Inc. Wafer carrier for growing GaN wafers
WO2005054537A2 (en) 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
DE102004007984A1 (de) 2004-02-18 2005-09-01 Aixtron Ag CVD-Reaktor mit Fotodioden-Array
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
WO2006020424A2 (en) 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
WO2006060134A2 (en) 2004-11-15 2006-06-08 Cree, Inc. Restricted radiated heating assembly for high temperature processing
JP2006173560A (ja) 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
WO2006078666A2 (en) * 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
DE102005003984A1 (de) 2005-01-28 2006-08-03 Aixtron Ag Gaseinlassorgan für einen CVD-Reaktor
DE102005004312A1 (de) 2005-01-31 2006-08-03 Aixtron Ag Gasverteiler mit in Ebenen angeordneten Vorkammern
US7275861B2 (en) 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
TW200737307A (en) * 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
DE102005056320A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit einem Gaseinlassorgan
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US7312422B2 (en) * 2006-03-17 2007-12-25 Momentive Performance Materials Inc. Semiconductor batch heating assembly
DE102006018515A1 (de) 2006-04-21 2007-10-25 Aixtron Ag CVD-Reaktor mit absenkbarer Prozesskammerdecke
US20070254100A1 (en) 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor without metalorganic-source temperature control
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
US20080102199A1 (en) 2006-10-26 2008-05-01 Veeco Instruments Inc. Multi-wafer rotating disc reactor with wafer planetary motion induced by vibration
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
CN102174693B (zh) 2007-01-12 2014-10-29 威科仪器有限公司 气体处理系统
DE102007009145A1 (de) 2007-02-24 2008-08-28 Aixtron Ag Vorrichtung zum Abscheiden kristalliner Schichten wahlweise mittels MOCVD oder HVPE
US8216419B2 (en) 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
KR100956352B1 (ko) * 2007-09-06 2010-05-07 세메스 주식회사 탄소나노튜브 제조장치 및 그 방법
US8778079B2 (en) * 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8021487B2 (en) 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
KR20100106608A (ko) 2008-01-31 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 회로 mocvd 증착 제어
CN105810630A (zh) 2008-08-29 2016-07-27 威科仪器有限公司 具有变化热阻的晶片载体
JP2012504873A (ja) 2008-10-03 2012-02-23 ビーコ プロセス イクイップメント, インコーポレイテッド 気相エピタキシーシステム
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
DE102010000554A1 (de) 2009-03-16 2010-09-30 Aixtron Ag MOCVD-Reaktor mit einer örtlich verschieden an ein Wärmeableitorgan angekoppelten Deckenplatte
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
WO2010129289A2 (en) 2009-04-28 2010-11-11 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20100310766A1 (en) 2009-06-07 2010-12-09 Veeco Compound Semiconductor, Inc. Roll-to-Roll Chemical Vapor Deposition System
US20110290175A1 (en) 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
DE102009025971A1 (de) 2009-06-15 2010-12-16 Aixtron Ag Verfahren zum Einrichten eines Epitaxie-Reaktors
US8486726B2 (en) 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
KR101115697B1 (ko) * 2009-12-02 2012-03-06 웅진폴리실리콘주식회사 에너지 효율을 높여주는 복사열 차단막을 갖는 화학기상증착 반응기
DE102010000447A1 (de) 2010-02-17 2011-08-18 Aixtron Ag, 52134 Beschichtungsvorrichtung sowie Verfahren zum Betrieb einer Beschichtungsvorrichtung mit einer Schirmplatte
SG183432A1 (en) 2010-02-24 2012-09-27 Veeco Instr Inc Processing methods and apparatus with temperature distribution control
EP2543063B1 (en) 2010-03-03 2019-05-08 Veeco Instruments Inc. Wafer carrier with sloped edge
US20110237051A1 (en) 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
US20110259879A1 (en) 2010-04-22 2011-10-27 Applied Materials, Inc. Multi-Zone Induction Heating for Improved Temperature Uniformity in MOCVD and HVPE Chambers
CN102212877B (zh) 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
US8460466B2 (en) 2010-08-02 2013-06-11 Veeco Instruments Inc. Exhaust for CVD reactor
CN101922042B (zh) 2010-08-19 2012-05-30 江苏中晟半导体设备有限公司 一种外延片托盘支撑旋转联接装置
US20120058630A1 (en) 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
US20120083060A1 (en) 2010-09-30 2012-04-05 Jie Cui Integration of cluster mocvd and hvpe reactors with other process chambers
CN102485953B (zh) 2010-12-01 2014-07-30 北京北方微电子基地设备工艺研究中心有限责任公司 托盘装置及结晶膜生长设备
CN102560434B (zh) 2010-12-13 2014-10-22 北京北方微电子基地设备工艺研究中心有限责任公司 金属有机化合物化学气相沉积设备
CN102560636B (zh) 2010-12-14 2016-03-30 北京北方微电子基地设备工艺研究中心有限责任公司 一种基片承载装置及应用该装置的基片处理设备
CN102560431B (zh) 2010-12-21 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 金属有机化学气相沉积设备及其腔室组件
US8888360B2 (en) 2010-12-30 2014-11-18 Veeco Instruments Inc. Methods and systems for in-situ pyrometer calibration
US20120171377A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
US20120272892A1 (en) 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
CN102732859A (zh) 2011-04-08 2012-10-17 北京北方微电子基地设备工艺研究中心有限责任公司 气体传输装置及具有其的基片处理设备
CN102776489B (zh) 2011-05-09 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 进气环、进气组件、工艺腔装置和cvd设备
JP2014518944A (ja) * 2011-05-13 2014-08-07 グリーンセンター カナダ 11族モノ金属前駆化合物およびその金属堆積における使用
US8958061B2 (en) 2011-05-31 2015-02-17 Veeco Instruments Inc. Heated wafer carrier profiling
CN102820206B (zh) 2011-06-10 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 热反射装置及半导体处理设备
US20130005118A1 (en) 2011-07-01 2013-01-03 Sung Won Jun Formation of iii-v materials using mocvd with chlorine cleans operations
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
WO2013033428A2 (en) 2011-08-30 2013-03-07 Applied Materials, Inc. In situ process kit clean for mocvd chambers
KR20130037688A (ko) 2011-09-01 2013-04-16 비코 인스트루먼츠 인코포레이티드 열 특징부를 갖는 웨이퍼 캐리어
CN105088187B (zh) 2011-11-23 2018-09-18 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置

Also Published As

Publication number Publication date
WO2009049020A2 (en) 2009-04-16
CN101802254B (zh) 2013-11-27
JP2011500961A (ja) 2011-01-06
KR20100070333A (ko) 2010-06-25
US8778079B2 (en) 2014-07-15
US20120111271A1 (en) 2012-05-10
CN101802254A (zh) 2010-08-11
EP2215282A2 (en) 2010-08-11
US20140216341A1 (en) 2014-08-07
EP2215282A4 (en) 2010-11-17
WO2009049020A3 (en) 2009-07-16
EP2215282B1 (en) 2016-11-30
US20140216347A1 (en) 2014-08-07

Similar Documents

Publication Publication Date Title
KR101177983B1 (ko) 화학 기상 증착 반응기
US9695508B2 (en) Liner assembly for chemical vapor deposition chamber
KR100816969B1 (ko) 화학기상증착 반응기
CN101423937B (zh) 多种气体同心注射喷头
KR20070107782A (ko) 다중 유입구를 구비하는 화학기상증착 반응기
TW201704523A (zh) 用於半導體外延成長的注射器
TWI612171B (zh) 化學氣相沉積裝置及其沉積方法
US20110073039A1 (en) Semiconductor deposition system and method
US9427762B2 (en) Gas injector and cover plate assembly for semiconductor equipment
WO1999066101A1 (en) Dual channel gas distribution plate
GB2486779A (en) A microwave plasma reactor for manufacturing synthetic diamond material
CN115305458B (zh) 一种气体分配件、气体输送装置及其薄膜处理装置
US11598004B2 (en) Lid assembly apparatus and methods for substrate processing chambers
US20190062909A1 (en) Inject assembly for epitaxial deposition processes
CN113699509A (zh) 一种半导体生长设备及其工作方法
US11222771B2 (en) Chemical control features in wafer process equipment
CN115874281A (zh) Mocvd反应室的隔离挡板装置
CN218812237U (zh) 一种提高成膜质量的进气结构
JP2642829B2 (ja) 半導体製造装置
CN117821934A (zh) 腔室组件、进气装置及衬底处理设备
CN115821376A (zh) 一种提高成膜质量的进气结构
CN117904601A (zh) 一种衬底处理设备及方法
GB2469225A (en) Chemical vapor deposition reactor having multiple inlets

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150501

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee