CN101802254A - 化学气相沉积反应器 - Google Patents

化学气相沉积反应器 Download PDF

Info

Publication number
CN101802254A
CN101802254A CN200880106034A CN200880106034A CN101802254A CN 101802254 A CN101802254 A CN 101802254A CN 200880106034 A CN200880106034 A CN 200880106034A CN 200880106034 A CN200880106034 A CN 200880106034A CN 101802254 A CN101802254 A CN 101802254A
Authority
CN
China
Prior art keywords
reactor
flow
cvd
vapor deposition
chemical vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880106034A
Other languages
English (en)
Other versions
CN101802254B (zh
Inventor
迈克尔·J·贝卡尼
弗兰克·J·卡姆帕纳勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Valence Process Equipment Inc
Original Assignee
Valence Process Equipment Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Valence Process Equipment Inc filed Critical Valence Process Equipment Inc
Publication of CN101802254A publication Critical patent/CN101802254A/zh
Application granted granted Critical
Publication of CN101802254B publication Critical patent/CN101802254B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/9247With closure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供了CVD反应器,如进行外延层的金属有机化学气相沉积的MOCVD反应器。CVD或MOCVD反应器一般包括流量法兰组件、可调整的比例流喷射器组件、腔室组件和多节段中心旋转轴。反应器向用于减少气体使用并同时改善沉积的性能的特定元件提供新颖的几何形状。

Description

化学气相沉积反应器
相关申请的交叉引用
本申请要求2007年10月11日递交的第60/979,181号美国临时申请的权益,将该临时申请的全部内容以参考的方式并入本申请。
技术领域
本发明涉及化学气相沉积(“CVD”)反应器,包括金属有机化学气相沉积(“MOCVD”)反应器。
背景技术
化学气相沉积(“CVD”)反应器,尤其是金属有机化学气相沉积(“MOCVD”)反应器,用于将固体材料沉积在晶片上。这种材料一般包括周期表中第III族栏和第V族栏的元素(被称为III-V材料,但也包括“II-VI材料”)的化合物。使用这些反应器还将诸如硅(Si)、碳化硅(SiC)、氧化锌(ZnO)等的材料沉积在晶片或其它表面上。在商业上,这些反应器用于制造固态(半导体)微电子装置、光学装置和光电(太阳能)装置以及其它电子/光电子材料和装置。
在操作中,通常通过(通常)位于晶片承载片(wafer carrier)的下表面下方的加热器组件将扁平圆柱形晶片承载片加热至所需的温度(450℃-1400℃),晶片承载片带有装载在晶片承载片上表面上的浅凹口中的一个或多个晶片。
连续供应的气体混合物被引导以在被加热的晶片承载片和晶片的表面上流动。气体混合物主要(约75%-95%)是载气,其是用于在反应器中限定一般的流动模式并适当地稀释反应气体的适当惰性气体(一般为氢或氮)。气体混合物的剩余包括第V族反应气体(约4%-23%)、第III族反应性蒸气(约1%-2%)和掺杂气体或蒸气(痕量)。
第V族气体紧邻被加热的晶片承载片和晶片的表面上方和表面上分解,允许中央的第V族元素的原子合并到被沉积的材料层(位于晶片上和位于晶片承载片上)中。同样地,第III族气体分解以提供第III族的元素。同样地,掺杂气体分解以提供用于改变半导体材料导电特性的原子。
在晶片承载片和晶片的表面上径向向外流动后,气体混合物(现在还包含反应副产物)经过一个或多个排出口离开反应器。通常使用真空泵以抽吸气体混合物通过反应器,尤其是因为大多数材料最优地在比大气压力低的压力下沉积。气体混合物在被加热的晶片承载片上方经过后,开始快速地冷却,这导致副产物快速凝固为固体状态。它们易于包覆反应器腔室(在晶片承载片下方)和排出管路的内表面。
晶片承载片通常以从100RPM到超过1000RPM的转速旋转,以有助于均匀地分布流动的气体混合物,并减小传质(mass-transport)边界层的厚度,这提高了反应物使用以及副产物去除的效率。
使用该方法使材料分批沉积。在分批运行过程中并不连续地供应反应物。如下进行通常的分批运行。在运行的初始阶段期间,仅以低的流速供应载气。接着同时地,将晶片承载片的旋转逐渐增大到期望值,将晶片承载片的温度增加到期望值,并将载气流速增加到期望值。通常,首先将第V族反应气体(以特定的温度水平)切换到反应器中,以稳定衬底晶片的表面(防止第V族原子的脱附),接着将第III族和掺杂气体切换进来,以影响材料层的“生长”(仅当至少一种第V族和至少一种第III族源被切换到反应器时才发生材料生长)。可能出现无第III族或掺杂气体供应到反应器的短暂停顿,但是通常在整个生长阶段期间(当温度高于约350℃-400℃时)供应至少一种第V族气体。
一旦所有的材料层进行了生长,则将温度逐渐下降。一旦温度低于约350℃,则切断第V族反应气体,并且将旋转、温度和载气流速降低到开始的水平。接着通过打开反应器腔室顶部或通过利用机械装置将整个晶片承载片从反应器腔室移出而将晶片从晶片承载片上移除。取决于被沉积的材料,可以将同一晶片承载片用于多批次运行,或仅用于一次运行,然后必须将沉积在暴露的上表面上的多余材料清除。
目前在市场中使用多种已知的MOCVD反应器系统。这些已知的MOCVD反应器中每一种均具有缺陷和缺点。
一种设计使用具有气流喷射顶盖的高圆筒形容器,其试图将气流均匀地分布在整个盖区域上。竖直的分离在有限的程度上防止副产物材料在盖的内表面上的沉积,而气流穿过盖的内表面进入。然而,这种盖的设计所具有的缺点包括:盖中多个气体分布“区域”的无效隔离,这导致预先反应和副产物材料的沉积;气流在来自供气管路的大区域面积上的无效分布,这导致非最优的材料特性以及在盖的内表面上的额外材料沉积;以及需要高气体流速以产生从盖经过大的腔室容积的相对均匀的流出流。
第二种设计使用具有与(被加热的)沉积表面紧密间隔的气流喷射顶盖的短圆筒形容器。紧密的间隙有效地使反应器容积最小化并提供气体与沉积表面的有效接触,并且气体腔室隔离是有效的。然而,紧密的间隙导致副产物材料在盖的内表面上沉积并几乎在每次工艺运行后均需要清洁,这样需要更多的维护时间和成本以及更少的生产时间。除了高的维护成本,由于盖的复杂性和大的面积,制造顶盖的成本也是非常高的。
使用这两种设计均是昂贵的。第一种设计具有非常高的操作成本并产生质量及性能较低的产品。第二种设计具有相对较低的操作成本,但是具有较高的系统维护要求。
期望具有较低的生产代价和操作成本的CVD反应器系统。期望具有改善的被沉积的材料的特性、高正常工作时间和高质量的CVD反应器系统。
发明内容
提供了CVD反应器,例如进行外延层的金属有机化学气相沉积的MOCVD反应器。CVD或MOCVD反应器一般包括一个或多个流量法兰组件、可调整的比例流喷射器组件、腔室组件和多节段中心旋转轴。
CVD反应器向用于减少气体使用并同时改善沉积的性能的特定元件提供了新颖的几何形状。一方面,描述了多个具有新颖几何形状的CVD反应器元件。另一方面,描述了解决常规CVD反应器的问题的新元件。例如,腔室顶壁和侧壁具有与常规元件明显不同的几何形状。顶壁和侧壁形成了外展的或弯曲的锥形表面。反应器的离开区域也具有改进的几何形状,包括渐缩的或倾斜的表面。本发明的一实施方案中包括新颖的气体喷射器以进一步改善性能和经济性。
创造性的设计提供了多个优点。CVD反应器减小了反应器的容积,提供了引导进入的气体流与沉积表面紧密接触的导流表面,提供了额外的导流表面以防止废反应气体反向进入主反应容积,提供了主要内部反应器表面的高度均匀的流体冷却或温度控制,并提供了减小沉积表面热损失的装置。
反应器的设计解决现有设计的多个问题,这些问题包括但不限于以下:(1)高的/低效的气体和化学品使用,(2)进入的气体流的非均匀分布,(3)设备的高制造成本,以及(4)难以解决的副产物材料在内部反应器表面上的沉积。其结果是较低的操作成本、被沉积的材料层的改善的特征和较低的机器维护要求等优点。
与其它设计的竖直圆柱形壁相反,流量法兰组件包括三维渐缩或外展的锥形上表面和紧接该表面后侧的薄的流体间隙。该设计减小了反应器容积和气体使用,有效地将气体向沉积表面导引以实现更有效的化学品使用,并提供了大致均匀的径向速度以实现改进的沉积均匀性。
可调整的比例流喷射器具有若干特点,包括小于沉积表面的面积、隔离的流区域、不具有分隔屏障的单一可调整的流区域以及均匀的冷却流体流型。通过提供较低的气体流速、较低的制造成本、无区域交叉泄露(zone cross leak)及所产生的预反应(pre-reaction)和副产物材料沉积以及被沉积的材料的改善的均匀性,这些特点解决现有技术的喷射器中的若干问题。
在一实施方案中,可调整的比例流喷射器组件包括用于分隔地保持一个或多个反应气体流的一个或多个气体腔室和用于在将气体喷射到反应器腔室中之前调节气体温度的流体空腔。可调整的比例流喷射器组件从供应管接收一个或多个气体流入流并散布/扩散这些流以实现均匀的流出流速度,并同时使气流在其离开前保持分离,还调节气体在离开可调整的比例流喷射器组件时的温度。
在一实施方案中,腔室组件一般包括锥形或倾斜的下部流动导引件。下部流动导引件防止气体再循环回到反应区域中,改善从晶片承载片的外缘流动到排气口中的平顺性以实现更稳定的整体反应器流型,减少晶片承载片的外缘处的热损失以实现更好的温度均匀性和改善的材料特征。
晶片承载片的一实施方案具有由耐高温材料制成的圆柱形板,其将衬底晶片保持在反应器容积内,并在本发明的实施方案中将从加热器组件接收的热传递至晶片。中心旋转轴一般与晶片承载片相通并引起晶片承载片的旋转运动。在一实施方案中,中心旋转轴通常结合旋转真空馈孔(如铁磁流体密封类型(ferrofluid sealed type))穿透基板中轴线,并且在反应器内支撑晶片承载片和使晶片承载片旋转。
在一具体实施方案中,反应器包括具有顶部和底部的两件式晶片承载片,顶部具有最适于保持衬底晶片的性质,底部具有最适于热吸收的性质。
在一实施方案中提供了多节段中心旋转轴。多节段轴具有可任选地用于反应器中的两个或更多节段。多节段轴的至少一个节段由具有低热传导率的材料制成。多节段轴可具有被设计为具有高的热传递抵抗性的节段分界面,以减少晶片承载片的热损失。多节段轴可在晶片承载片的中心附近产生额外的热并向晶片承载片和/或轴的热损失提供热障。
附图说明
以下是对一同递交的附图的一般性描述。
图1是整个反应器腔室组件的一实施方案的立体图。
图2是整个反应器腔室组件的一实施方案的侧视图。
图3-5示出整个反应器腔室组件的一实施方案的横截面图。
图6示出流量法兰组件的一实施方案的立体图。
图7示出流量法兰组件的一实施方案的分解侧视图。
图8示出流量法兰组件的一实施方案的分解下侧视图。
图9a-c示出上部流动导引件的一实施方案的3个横截面侧视图。
图10示出上部流动导引件的一实施方案的放大横截面图。
图11示出可调整的比例流喷射器组件的一实施方案的侧视图。
图12示出可调整的比例流喷射器组件的一实施方案的分解侧视图。
图13-15示出可调整的比例流喷射器组件的一实施方案的3个横截面图。
图16示出可调整的比例流喷射器气体腔室机械加工件的一实施方案的俯视内部视图。
图17示出可调整的比例流喷射器组件的以实施方案的仰视图。
图18示出可调整的比例流喷射器组件的、密封至流量法兰组件的双O形圈密封的放大横截面图。
图19示出腔室组件的一实施方案的立体图。
图20示出腔室组件的一实施方案的俯视图。
图21a和21b示出了中心旋转轴组件一实施方案的两个分解图。
图22示出中心旋转轴组件一实施方案的侧视图。
图23示出中心旋转轴组件一实施方案的横截面图。
图24示出中心旋转轴组件一实施方案的放大横截面图。
图25a-c示出了可调整的比例流喷射器组件的气体腔室的子组件的另一实施方案。
发明的详细描述
使用优选实施方案对本发明进行详细的描述。然而,本发明不限于这些实施方案。另外,一实施方案中的要求可自由地应用于其它实施方案,并且除非附带特殊条件,否则要求可以相互代替。具体地,以下对CVD反应器或MOCVD反应器以及反应器的元件和部件进行更详细的描述。CVD反应器或MOCVD反应器可包括本文未具体提及的其它元件和部件。此外,应该理解,本发明的范围涉及可包括本文所讨论的元件和部件中的一些或可包括本文所讨论的元件和部件的全部的CVD反应器或MOCVD反应器。
图1图示了整个反应器组件1的一实施方案的前侧立体图。整个反应器组件1包括3个子组件,其共同形成整个反应器组件1。这3个子组件是流量法兰组件3、可调整的比例流喷射器组件5和腔室组件10。图2图示了反应器1的侧视图以及从反应器1的外部可见的单独元件中的一些元件。以下对这些元件进行更详细的讨论。
图3-5图示了示出3个子组件的相互连接的整个反应器组件1的横截面图,和组成这3个子组件的单独元件的横截面图。在图1和2中图示了流量法兰组件2、可调整的比例流喷射器组件5和腔室组件7。还显示了3个子组件3、5和7的单独元件,并在以下对这些单独元件进行更详细的讨论。
图6-10和18示出了流量法兰组件3的一实施方案的若干视图。流量法兰组件3包括主法兰本体30并具有上部开口31,上部开口31限定了用于位于顶部的流喷射器组件5的装配口并装配至位于底端的腔室组件10(在图3-5的横截面图中最佳地示出)。流量法兰组件3具有装配在主法兰本体30内的上部流动导引件32,其与流喷射器和晶片承载片一起限定反应器容积33和反应器容积内的气体流型。
上部流动导引件32优选地具有三维渐缩锥形的且面向外的表面34(与现有技术设计的竖直圆柱形壁不同)。上部流动导引件32被定位且装配在主法兰本体30中(在图7和8中最佳地示出)。主法兰本体30的下侧35具有接收上部流动导引件32的面向内的表面36的相应形状,使得紧邻上部流动导引件32后方且在上部流动导引件32与主法兰本体30之间形成薄的流体间隙或空腔37(在图8-10中最佳地图示)。在如图9a-c中所示的实施方案中,流体空腔采集通道41、42(这里是两个点)通过流动孔40与薄的流体空腔37连接。
上部流动导引件32的几何形状使反应器腔室容积最小化,抑制了反应器腔室容积33内的再循环涡旋并提供反应气体与晶片承载片表面77的有效接触。
在一实施方案中,如图3-5中最佳地示出,上部流动导引件32具有第一(上部)直径D1和第二(下部)直径D2,第一(上部)直径D1与可调整的比例流喷射器(AFPI)7的直径基本上相等,第二(下部)直径D2与晶片承载片76的直径d3基本上相等。如图所示,第一直径D1小于第二直径D2。第一直径D1优选地为第二直径D2的约0.2到0.5。上部流动导引件32并非严格的锥形,而是弯曲的,因为导引件向下延伸并在接近D2时外展。上部流动导引件32产生一种气体流型,其中均匀分布的、向下流动的气流被引向晶片承载片76,但是气流还横向转向并延伸,从而能够使用较小直径的流喷射器5以在基本上较大的晶片承载片76上均匀地分布流,而在反应器腔室容积33内不会发生气体再循环。
上部流动导引件32的弯曲或外展轮廓提供大致相等的径向气体速度。可替换地,具有这种几何形状的上部流动导引件32被称为膨胀锥形上部流动导引件32。尽管不受理论约束,对于径向向外移动的气流而言,气体必须穿过连续增加的横截面积(其随着对于圆柱形几何形状的半径而增加),因此,流速必须降低。为了保持基本上恒定的速度,包含的几何形状的高度H1可以逐渐减小,使得横截面积(周长与高度的乘积)保持基本上恒定,这样抵消了周长随半径的增加。
流量法兰组件3优选地具有紧邻上部流动导引件32后侧定位(位于上部流动导引件32与主法兰本体30之间)的流体间隙37。在本发明的实施方案中,流体间隙37相对较薄(约0.1英寸或更小),这样对于大致每分钟1加仑的流体流速以及密度和粘度值处于水的幅值范围内的流体而言,会产生小于3200的Reynold数,这表示在流体间隙内的层流和流体的有效使用。这种构造产生降低的流体使用和/或减小流体再循环器的容量(如果采用贮液槽/再循环器热交换器系统)。
流量法兰组件3还可以包括用于空气移除和逆流(counter-flow)热交换的、经过流体间隙37的底部/外部至顶部/内部的流。即,流体沿与气体在反应器容积中流动的方向相反的方向流过流体间隙。在一实施方案中,由可任选地穿过一个或多个供应管道(未示出)的供应通道41获得这种穿过流体间隙的流体路径。每一供应通道41在接近每一供应通道41的端部的位置具有一个或多个限流孔40。限流孔40充分地约束流动,使得相等流速的流体穿过每一供应通道,然后立即进入流体间隙37,在流体间隙37的外周周围产生均匀的流动传输。流体径向向内流过流体间隙37,并接着穿过第二组限流孔40,从第二组限流孔40内部将流体传输到返回通道42(可任选地经由一个或多个回流管道(未示出))。流体经由供应通道流入管45供应并经过流体流出管46返回。流体在流体间隙37内的流动特征产生反应器腔室容积33内提高的温度均匀性,这样提高了气体流型的均匀性和沉积均匀性。流体间隙37中的底部/外侧到顶部/内侧的流动模式导致逆流热交换和空气从间隙37的有效移除。
上部流动导引件的最外径D2处(即,邻近晶片承载片76的上部流动导引件的端部处)的上部流动导引件32与晶片承载片76的最外径d3处的晶片承载片上表面77之间的间隙43一般地抑制或防止喷射的气体在晶片承载片76上方的再循环。具体如图3-5所示,晶片承载片76被置于中心旋转轴75的顶部。在上部流动导引件32最接近晶片承载片76之处,上部流动导引件32的外径D2约等于晶片承载片的外径d3。此时,这两个部件之间的间隔H2处于最小值,并且间隙43便于抑制或防止喷射的气体在反应器腔室容积33内的再循环。例如,间隙的尺寸H2可以是约1.00英寸或更小,例如约0.25英寸或更小。从可调整的比例流喷射器组件5向下流动的气体在反应器腔室容积33内横向转向并径向向外流动。气体当到达间隙43时获得最大流速,并且气体一旦经过间隙43则开始在邻近间隙43的排气收集区44中膨胀并减速,从而防止废气混合物(即,已经离开位于晶片承载片76处和位于晶片承载片76上方的反应区域的气体)的向后再循环。
在本发明的优选实施方案中,具有膨胀锥形上部流动导引件32的反应器1还包含下部流动导引件72(以下将更具体地讨论)。下部流动导引件72防止气体再循环回到反应区中,改善从晶片承载片的外缘流动到排气口中的平顺性以实现更稳定的整体反应器流型,并减小晶片承载片76外缘处的热损失以实现更好的温度均匀性和改善的材料特征。
在图11-18和25中具体示出了本发明一实施方案中的可调整的比例流喷射器组件5。可调整的比例流喷射是这样的流喷射器,其从供应管接收多个气体流入流并散布或扩散这些气体流入流以实现均匀的流出流速,同时使气流离开前保持分离。可任选地,APFI 5还调节气体离开可调整的比例流喷射器组件时的温度。APFI 5通常为圆筒形(圆形面和竖直高度)并装配在流量法兰组件3内。尽管图中示出了圆筒形APFI,但是能够将APFI制成为任何形状,并且精确的形状一般由AFPI装配于其中的上部开口31的形状决定。例如,如果上部开口31具有正方形或矩形形状,则APFI具有相应的正方形或矩形形状使得其可以被装配。
可调整的比例流喷射器组件5一般包括支撑法兰51,其为与支撑法兰51和穿透支撑法兰51的气体腔室流入管或口54相装配的元件提供结构完整性。支撑法兰51还用于将整个可调整的比例流喷射器组件5装配到主法兰本体30。
APFI 5包括一个或多个气体腔室52。在一实施方案中,气体腔室50中的一个或多个可被机械加工成气体腔室机械加工件52并由多个气体腔室顶壁或顶面57和气体腔室底壁或底面58形成。气体腔室顶壁57能够被机械加工以形成如俯视图图16和17所示的不同区域。气体腔室50通过气体腔室竖直壁59与其它气体腔室50分隔,气体腔室竖直壁59从气体腔室顶壁57延伸到气体腔室底壁58,从而形成气体腔室50。可包含在气体腔室顶壁57中的一个或多个气体入口54将气体沿例如竖直方向(即,与气体腔室顶壁57和气体腔室底壁58大致垂直)运送到可调整的比例流喷射器组件5的一个或多个气体腔室50。
每一气体腔室50可以接收不同的气流,这些气体腔室中的一个或多个可以使气体扩散或分散并使第一气流与其它气流保持分离或使每一气流与其它气流保持分离,且在特定流出表面区域上产生均匀的流速。另外,每一气体腔室50可被构造为具有与其它气体腔室50相同或不同的形状。
例如,如图16所示(将支撑法兰51从图中移除),存在外部气体腔室50a和4个中间气体腔室50b和50c以及内部气体腔室50d。在一实施方案中,气体腔室50b接收第III族反应物,并且中间气体腔室50c接收第V族反应物。腔室50a-d由竖直壁59、气体腔室顶壁57(未示出)和气体腔室底壁58分隔。
APFI 5还可包括位于一个或多个气体腔室50下方的流体空腔60。流体空腔60可通过将流体空腔机械加工件53装配到气体腔室机械加工件52而形成。图17示出了可调整的比例流喷射器组件5的仰视图,其中示出了流体空腔机械加工件53的底面。气体腔室出口61可从气体腔室的底壁58经过流体空腔60、如经过管道管63延伸或穿透到反应器腔室容积33中。管道管63可具有相同或不同的内径以及相同或不同的外径。管道管63穿过流体空腔60,允许在将气体引入反应器腔室容积33中之前通过适当控制流过流体腔室60的流体温度而对气体温度进行调节。流体空腔60具有流体空腔出口66,其定位在与流体空腔流出管67连接的流体空腔60的大致中心处。另外,将流体空腔入口68设置为经过流体空腔流入管69朝向流体空腔60的外周。
在包含流体腔室扩散器65(在以下更详细讨论)的实施方案中,流体空腔出口68被定位在扩散器65周边的内侧,而流体空腔入口68定位在扩散器65周边的外侧。
可调整的比例流喷射器组件5可任选地具有一个或多个以下特征。在一实施方案中,气体出口孔61优选地具有比气体入口54小的尺寸(例如,可以存在从约100到约10,000个气体出口孔)。气体出口孔61的数量和延伸通过流体空腔60的管道管63的内径和长度取决于特定的气体组成、流速、温度和压力,且还受到气体腔室的底壁58的总表面积以及制造能力和成本的限制,难度和成本随着管道管63的外径和内径的减小以及相邻气体出口孔61的间距的减小而增加。然而,一般对于给定的气体腔室,所有管道管63的总横截面积优选地比气体入口54的横截面积大2至6倍。这种布置导致了与气体入口54相比直径较小的管道管63的更大的壁表面积以及相应的更大的流体剪切力和压力下降,使得在给定气体腔室的成组管道管上的压力下降(即,从气体腔室到反应器腔室容积33的压力下降)优选地从几托(Torr)到几十托。
气体腔室上壁57和气体腔室底壁可优选地为基本平行。所有气体腔室的上壁/上表面57可以为基本共面,或者处于不同的平面上。类似地,所有气体腔室50的气体腔室底壁58可以为共面或者处于不同的平面上。
可调整的比例流喷射器组件5可任选地包括位于气体腔室上壁57与气体腔室底壁58之间并与气体腔室上壁57和气体腔室底壁58基本平行的一个或多个中间扩散隔板55。当使用中间扩散隔板55时,在包括中间扩散隔板55的气体腔室50中形成上部气体腔室部分50a和下部气体腔室部分50b。例如,上部气体腔室部分50a一般可由气体腔室上壁57、中间扩散隔板55的上表面和任何侧壁59限定,并且下部气体腔室部分50b一般可由气体腔室底壁58、中间扩散隔板55的下表面和任何侧壁59限定。
每一气体腔室50的气体出口孔61连接到穿透流体空腔60的出口管道(优选为小直径管)63,出口管道63可附接或以其它方式连接到流体空腔机械加工件53,从而形成下部流体空腔壁,接近于其最下侧是反应器腔室容积33的边界面。出口管道63优选地具有与组合的成组气体腔室出口孔61匹配的孔模式。
可调整的比例流喷射器组件5的另一实施方案涉及具有均匀的径向流型的流体温度控制区。温度调节流体,如冷却流体,流入外部分布通道62中。在本发明的一实施方案中,流体空腔60具有流体空腔扩散器65。流体空腔扩散器65优选为高度略微大于流体空腔60的高度的、薄的、圆筒形金属片环,且优选地尽可能的薄。在优选实施方案中,圆筒形金属片环插入位于气体腔室机械加工件53的底面和流体空腔机械加工件52的上表面中的相对的圆形沟槽中,这两个沟槽深度的和优选等于流动扩散屏障超过流体空腔高度的额外高度,使得在位于流体空腔最外周的多个入口68处输送到流体空腔60的流体在流过位于流动扩散屏障65中的多个优选等间距的小孔64之前必须立即切向移动,从而产生从流体空腔60的最外周径向向内朝向位于流体空腔60的中心出口66处的单一出口66的均匀流动分布。小孔64起到限流孔的作用,其充分地约束流动以产生经过每一孔64的等同流动。
图25(a-c)图示了制造APFI的另一方法。并未示出前述的所有APFI元件。为了提高APFI制造和测试的便利性和效率,能够由可互换的模块或子组件组装APFI的元件。例如,气体出口孔子组件150可由上部板151、下部板152和多个管道63构造而成。上部板151构成上述气体腔室50的底壁58。下部板152构成前述的流体空腔机械加工件53的底壁58的一部分。
在该实施方案中,气体腔室机械加工件52被构造为接收多个气体出口孔子组件150,使得上部板151的上表面153齐平地装配到前述的气体腔室壁59的一个或多个下表面155。相邻气体出口孔子组件150的上部板151之间的接缝沿气体腔室壁59的给定下表面155的中心线行进,使得可以形成密封,防止因此形成的流体空腔63与任何气体腔室50之间的任何泄漏。
在图25(a-c)所示的实施方案中,相邻气体出口孔子组件150的下部板152之间以及给定气体出口孔子组件150的下部板152和与气体腔室机械加工件52一体的下部流体空腔壁157之间的接缝可以被密封,以防止流体空腔63与反应器腔室容积33之间的任何泄漏。在一实施方案中,尽管并不要求,但可以以这样的方式进行密封:使每一气体出口孔子组件150的下表面154与所有其它气体出口孔子组件150的下表面154和气体腔室机械加工件的下表面156齐平。因此,流体经过多个流体空腔入口68被运送到流体空腔63中并经过一个或多个流体空腔出口66离开,其中将流体空腔扩散器65(未示出)以与前述类似的方式定位。
本发明的另一实施方案涉及用于以一个或多个径向模式形成基本等间距的气体出口的模式。根据这些方法,布置圆形孔的一个或多个模式,使得孔彼此等距,例如以正方形或六边形模式布置。对于包括可调整的比例流喷射器组件气体腔室的径向区域,方法包括对孔进行分布,使得其彼此基本等距且与区域边界等距。该方法一般包括以下步骤:(1)将第一组孔以沿径向方向彼此之间等间距的方式布置在与第一径向区域边界邻近且平行的第一线上;(2)以机械加工件的中轴线处为顶点,确定位于离开中轴线第一径向距离的第一线上的第一点和与第一径向区域边界邻近且平行的第二线上的相应第二点之间的角度;(3)以气体腔室机械加工件的中心处为原点,确定位于邻近第一径向区域边界的给定半径处的第一孔与位于邻近第二相应径向区域边界的同一半径处的相应第二孔之间的弧的长度;(4)将该弧长除以期望的中心到中心孔间距;以及(5)将所得到的数字四舍五入至最近的整数。对组成步骤(1)中所述孔组的每一孔重复步骤(2)-(5)。该方法产生这样的孔模式:径向孔组之间具有相等间隔以及每一径向孔组中的孔具有近似相等的间距。该方法尤其可用于在小区域上以圆形或半圆形模式产生基本等距的孔组,与大区域上的模式相比,小区域中孔间距的不规则性更为显著。
反应器还可包括不具有区域分隔屏障的、具有可调整性的气体分布区域(如图17所示)。在该实施方案中,反应器包括两个或更多个气体入口管54以及在几何上用于产生经过多个孔61的可调整的出口流动模式的多个出口孔61。尽管不受理论约束,通过在不具有任何入口管54之间的任何分离的竖直分隔壁59的情况下增加或减小流到一个或多个入口管54的量,消除了通常由可以不具有任何出口流动孔的分隔壁下方的区域所产生的停滞区。
可调整的比例流喷射器组件5还可包括一个或多个密封的腔室顶部,例如一个或多个通过O形圈密封的腔室顶部,以进行清洁和/或隔板更换。在一优选实施方案中,气体腔室机械加工件52包括机械加工在分隔气体腔室的竖直壁59的顶面中的O形圈沟槽,其消除了气体腔室区上壁57。这是因为位于沿竖直壁的上表面的O形圈可直接密封到支撑法兰51的下表面或其它单一中间密封表面(而不是多个焊接表面)。这种构造允许气体腔室被打开和清洁或检查,并减少了所需元件的数量。
在另一实施方案中,如图18最佳示出的,可调整的比例流喷射器组件7包括具有真空屏障区的双O形圈密封。通过气体腔室机械加工件52和流体空腔机械加工件53中的O形圈沟槽92中的O形圈91产生双O形圈密封。一个O形圈91a被定位在气体腔室机械加工件52与主法兰本体31之间。第二个91b被定位在流体空腔机械加工件53与主法兰本体30之间。在APFI、主法兰本体31以及O形圈91之间形成真空空腔93。在主法兰本体31中包括差动(differential)密封真空口管94以产生和释放真空密封。该构造允许容易地移除可调整的比例流喷射器组件5,同时由于在两个O形圈密封之间的容积中产生的真空水平明显低于每一密封任一侧的真空水平,从而消除了O形圈弹性体材料的气体分子渗透。
图19-20和图3-5中示出了腔室组件7的一实施方案。腔室组件7具有反应器基板主体70。反应器基板主体经由反应器罐壁101连接至反应器罐顶部法兰100。反应器罐顶部法兰100与流量法兰组件3的主法兰本体30装配。基板主体70包含用于诸如中心旋转轴75(以下将更详细地讨论)的可用于CVD反应器中的多个元件的开口,基板排气管79;(目前并未包括在设计和其它图中,因此可能会产生困惑,但是本人并不介意我们是否丢弃它,因为我们可能在以后的设计中使用与之类似的对象);高流馈孔(feedthrough)90;以及旋转真空馈孔壳体88。
腔室组件7具有通常用于CVD反应器中的元件,例如包括热源和热反射罩以加热晶片承载片76的加热器组件。在所示实施方案中,一个或多个加热元件83被定位在晶片承载片76的下方,并且一个或多个热罩84被定位在加热元件83下方。例如,热源可以是优选地布置为同心圆模式以与晶片承载片的圆形区域匹配的用于辐射加热的灯丝或用于感应加热的铜管。可以使用其它类型的加热器组件以加热晶片承载片76。
腔室组件7具有下部流动导引件72。下部流动导引件72具有截头圆锥形的形状。锥形的下部流动导引件74具有内径d1和外径d2。优选地,内径d1略微大于晶片承载片76的外径d3,尽管内径d1能够大致等于、小于或大于晶片承载片76的外径d3。下部流动导引件72与晶片承载片76的顶面77大致对准。下部流动导引件72的外径d2大于内径d1,产生了向下方向的斜面。
在优选实施方案中,内径d1略微大于晶片承载片76的外径d3。下部流动导引件72的内径d1与晶片承载片76的外径之间的间距迫使从晶片承载片76与上部流动导引件32之间的间隙43喷射的气体逐渐膨胀,并抑制或防止喷射的气体在晶片承载片76的外缘下方再循环。优选地,下部流动导引件的内径d1与晶片承载片76的外径极为接近,以在二者之间提供窄的下部流动导引间隙,因为下部流动导引间隙越窄,则气体的喷射越有效,并且在反应器腔室容积33内对气体再循环的抑制或防止越强。在优选实施方案中,下部流动导引件72由石墨制成。
腔室组件7可包含下部流动导引件反射器74。下部流动导引件反射器74被定位在下部流动导引件72内并从晶片承载片76的外周延伸且沿向下方向成一角度。反射器74由金属薄片、优选为钼的薄片构造而成。反射器74用作将热向内反射并有助于使热在下部流动导引件72的表面上保持恒定。
在一实施方案中,下部流动导引件72可由一部分或一片式或多部分或多片式构造而成,例如由两片式下部流动导引件72构造而成。由于下部流动导引件72与晶片承载片76之间的紧密间隔并且由于晶片承载片76在加工过程中所达到的高温,在另一实施方案中,下部流动导引件76具有紧邻晶片承载片76的第一片,其由具有极高耐热性和与晶片承载片76材料的热膨胀系数大约相等或相似的热膨胀系数的材料(通常为石墨、蓝宝石或难熔金属)制成;以及具有第二片,其由并不具有如此的耐热性或热膨胀系数的材料制成,如由与构成第一片的材料相比比较不昂贵且更容易形成的材料制成。在优选实施方案中,第一片由石墨制成以提供适当的耐热性和与晶片承载片材料匹配的热膨胀系数。
下部流动导引件72可以部分或全部地为从保持晶片的晶片承载片76的表面的直径d3延伸的、晶片承载片76的延伸部,即,保持晶片的晶片承载片表面77的外缘轮廓。在该实施方案中,下部流动导引件76的全部或一部分为从优选地晶片承载片顶面77或者下表面78的外周延伸、或沿在晶片承载片顶面77与下表面78之间的外周的某点处延伸的晶片承载片的延伸部。在一具体实施方案中,下部流动导引件72具有第一部分,其是晶片承载片76的延伸部,例如处于距离晶片承载片外径76与上部流动导引件72之间的窄间隙40的最初几厘米范围内;以及第二片,其与晶片承载片76完全分离并被形成为邻近第一片的单独片。
用于反应器1的晶片承载片76可以是常规的一片式结构;然而,具有其它结构的实施方案也在本发明的范围内。例如,在本发明的一实施方案中,反应器可包括两片式晶片承载片76,其包括可移除的顶部(即保持晶片的盘(platter)或表面)和底部。可移除顶部可由优选为蓝宝石的多种材料制成,并且底部可由石墨构成并且还可包括用于加热的装置,如RF加热(用于底部的感应加热以及用于可移除顶部和位于可移除顶部的表面上的任何晶片的传导加热)。两片式晶片承载片可在需要时更换可移除顶部,而底部可以重复使用。
例如,在一实施方案中,两片式晶片承载片具有用于保持晶片的蓝宝石可移除顶部和支撑蓝宝石可移除顶部的石墨底部。蓝宝石顶部是无孔的并不会退化,常规使用的表面如SiC密封剂上会出现退化。蓝宝石可移除顶部还能够进行更严格地清洁(如不易于在石墨晶片承载片上进行的快速湿法化学刻蚀)。石墨底部片是热吸收体以将传导热传递到蓝宝石可移除顶部和位于可移除顶部的表面上的晶片中,所述晶片例如位于可以在可移除顶部的上表面中机械加工出的晶片凹口中。
在另一实施方案中,晶片承载片76与中心旋转轴75的一部分成一体(即,直接在其中机械加工),该轴75从晶片承载片76的底面78的中心向下延伸。中心轴75(或者,中心旋转轴75)向下延伸通过加热线圈并由适于加热的材料构成,例如由适于感应加热的材料构成。能够像加热晶片承载片76的主要部分那样加热该中心旋转轴75,并且提供该中心旋转轴75针对传导热损失的热障,该传导热损失可以在常规支撑心轴上发生。
用于晶片承载片76的中心旋转轴75可以是常规的一片式结构;然而,可以采用具有其它结构的实施方案。例如,在如图21-24所示的一实施方案中,采用了用于使晶片承载片旋转的多节段轴75,即包括一个或多个由相同材料或不同材料制成的节段的轴。在多节段实施方案中,至少一个节段的热传导率大大低于所用的其余轴节段的热传导率。多节段心轴尤其可与辐射加热器结合使用,但是本发明不必受限于此。
在图21-24所示的实施方案中,存在三个节段。轴上部节段81与晶片承载片76直接接触。轴上部节段81在晶片承载片76的底面78所放置的远端具有基座或法兰82。当采用辐射加热器时,上部节段优选由具有比多节段轴75的一个或多个其余节段低的热传导率的材料(如矾土或蓝宝石)制成。材料的这种选择产生最高可能的热传递抵抗性。多节段中心轴75与晶片承载片76之间的节段分界面能够被设计为具有最小的表面以进一步增强热传递抵抗性。这些特征改善了晶片承载片的中心区域附近的温度均匀性,并减小了反应器操作中的能量损失。
或者,当在反应器中采用感应加热器时,与晶片承载片接触的节段(轴上部节段81)向下延伸通过感应加热线圈。在该情况下,上部节段81由适于感应加热的材料制成。例如,当在反应器中采用感应加热器时,多节段中心轴75的上部节段81优选由石墨构造而成。
在一实施方案中,多节段轴75具有轴下部节段85,其由不易于以感应方式加热的材料(如蓝宝石)构造而成。轴上部节段81和轴下部节段85经由间隔件86连接,间隔件86优选由矾土构造而成。三个(或更多个)节段之间的分界面优选具有最小的表面接触面积,以产生最高可能的热传递抵抗性。可以通过在位于分界面位置的节段中包括经机械加工的凹陷87(如图24所示)以围绕节段端部的周边产生薄导轨96来减小表面面积。节段之间的接触仅发生在薄导轨96处而非节段端部的整个区域。节段优选通过开口式圆柱头螺钉(vented headcap screw)97紧固。
对于本领域技术人员而言,显然存在所公开发明的各种修改、调整和应用,并且本申请旨在覆盖这些实施方案。因此,尽管已经在某些优选实施方案的上下文中对本发明进行了描述,但是意图通过参照以下权利要求的范围衡量这些实施方案的全部范围。

Claims (27)

1.化学气相沉积反应器,包括:
流量法兰组件,其中,所述流量法兰组件包括主法兰本体和连接至所述主法兰本体的膨胀锥形上部流动导引件。
2.如权利要求1所述的化学气相沉积反应器,还包括定位在上部流动导引件与所述主法兰本体之间的流体间隙。
3.如权利要求2所述的化学气相沉积反应器,还包括第一通道和第二通道,所述第一通道在间隙的底部/外部部分与所述流体间隙流体联通,所述第二通道在间隙的顶部/内部部分与所述流体间隙流体联通,其中流体从一个通道流动到另一通道,从而影响上部流动导引件的外表面的温度控制。
4.如权利要求3所述的化学气相沉积反应器,其中所述流体沿与气体在流体导引空腔中流动的方向相反的方向流过所述流体间隙。
5.如权利要求1所述的化学气相沉积反应器,还包括连接至所述流量法兰组件顶部的流喷射器和连接至所述流量法兰组件底部的晶片承载片,并且其中所述膨胀锥形上部流动导引件具有与所述流喷射器的直径基本上相等的上部直径和与所述晶片承载片的直径基本上相等的下部直径,其中所述上部直径小于所述下部直径。
6.如权利要求5所述的化学气相沉积反应器,其中所述流喷射器为可调整的比例流喷射器并连接至位于所述流量法兰组件上的装配口,其中所述可调整的比例流喷射器包括一个或多个供应管、从所述供应管接收流入流的一个或多个气体腔室、位于所述气体腔室下方的流体空腔以及离开所述气体腔室并穿透所述流体空腔的一个或多个流出管道。
7.如权利要求5所述的化学气相沉积反应器,其中所述流喷射器具有用于使一个或多个气流在离开前保持分离的装置,其中组件具有用于调节气体离开组件时的温度的装置。
8.如权利要求1所述的化学气相沉积反应器,还包括连接至所述流量法兰组件的腔室组件,其中所述腔室组件包括锥形下部流动导引件。
9.化学气相沉积反应器,包括:
可调整的比例流喷射器组件;以及
连接至所述可调整的比例流喷射器组件的主流量法兰,其中所述可调整的比例流喷射器包括用于提供气流的一个或多个供应管、连接至所述供应管的多个气体腔室、位于所述多个气体腔室下方的流体空腔以及离开所述气体腔室并延伸通过所述流体腔室的多个流出管道。
10.如权利要求9所述的化学气相沉积反应器,其中所述可调整的比例流喷射器组件还包括:
将所述可调整的比例流喷射器组件装配至所述主流量法兰的支撑法兰;其中所述多个气体腔室的每一个接收单独的气流,其中所述气体腔室具有将所接收的气流与其它气流分离的一个或多个竖直壁。
11.如权利要求9所述的化学气相沉积反应器,其中所述多个气体腔室还包括连接至流出管道的一个或多个气体出口孔,其中所述出口孔的直径小于气体入口的直径。
12.如权利要求9所述的化学气相沉积反应器,其中所述多个气体腔室包括共面的上部壁和下部壁,其中所述上部壁和所述下部壁竖直地分离并基本上平行。
13.如权利要求12所述的化学气相沉积反应器,其中一个或多个所述气体腔室还在所述上部壁与所述下部壁之间包括中间扩散器隔板。
14.如权利要求9所述的化学气相沉积反应器,其中所述流体空腔包括流体空腔出口和流体空腔入口,所述流体空腔出口被定位在所述流体空腔的大致中心处并连接至流体空腔流出管,所述流体空腔入口连接至流体空腔流入管。
15.化学气相沉积反应器,包括:
流量法兰组件;
流喷射器,连接至所述流量法兰组件;
腔室组件,附接至所述流量法兰组件,
其中所述腔室组件包括围绕晶片承载片的锥形的下部流动导引件,并且所述下部流动导引件的内径大致等于或大于所述晶片承载片的外径。
16.如权利要求15所述的化学气相沉积反应器,其中所述下部流动导引件部分地或全部地为从保持晶片的所述晶片承载片的表面的直径处延伸的、所述晶片承载片的延伸部。
17.如权利要求16所述的化学气相沉积反应器,其中所述流量法兰组件包括上部流动导引件,并且所述晶片承载片的外径与所述上部流动导引件的下部直径大致相等。
18.如权利要求17所述的化学气相沉积反应器,其中所述晶片承载片与所述上部流动导引件之间的间隙约为1英寸或更小。
19.如权利要求15所述的化学气相沉积反应器,其中所述腔室组件在所述晶片承载片与所述下部流动导引件之间包括锥形的反射器。
20.化学气相沉积反应器,包括:
晶片承载片,以及
多节段中心旋转轴,包括上部轴节段和下部轴节段,其中所述上部轴节段连接至所述晶片承载片,并且一个节段的热传导率大大低于其余轴节段的热传导率。
21.如权利要求20所述的化学气相沉积反应器,还包括辐射热源,并且其中所述上部节段由低热传导率的材料制成,并且所述多节段轴的一个或多个其余节段由具有比所述上部节段高的热传导率的材料制成。
22.如权利要求19所述的化学气相沉积反应器,其中节段之间的分界面具有经机械加工的凹陷以减小节段之间的表面区域接触。
23.如权利要求20所述的化学气相沉积反应器,其中所述上部节段由高热传导率的材料制成,并且所述多节段轴的一个或多个其余节段由具有比所述上部节段低的热传导率的材料制成。
24.如权利要求22所述的化学气相沉积反应器,其中所述上部节段由石墨构成。
25.用于化学气相沉积反应器的流喷射器,包括:
用于提供气流的一个或多个供应管、连接至所述供应管的多个气体腔室和离开所述气体腔室的多个流出管道,其中对于给定的气体腔室,所有流出管道的总横截面积比所述供应管道的横截面积大2至6倍。
26.如权利要求25所述的流喷射器,其中,所述气体腔室包括气体腔室机械加工件,所述气体腔室机械加工件被构造为接收多个气体出口孔子组件。
27.如权利要求25所述的流喷射器,还在所述多个气体腔室下方包括流体空腔。
CN2008801060341A 2007-10-11 2008-10-09 化学气相沉积反应器 Expired - Fee Related CN101802254B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97918107P 2007-10-11 2007-10-11
US60/979,181 2007-10-11
PCT/US2008/079301 WO2009049020A2 (en) 2007-10-11 2008-10-09 Chemical vapor deposition reactor

Publications (2)

Publication Number Publication Date
CN101802254A true CN101802254A (zh) 2010-08-11
CN101802254B CN101802254B (zh) 2013-11-27

Family

ID=40549831

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008801060341A Expired - Fee Related CN101802254B (zh) 2007-10-11 2008-10-09 化学气相沉积反应器

Country Status (6)

Country Link
US (3) US8778079B2 (zh)
EP (1) EP2215282B1 (zh)
JP (1) JP2011500961A (zh)
KR (1) KR101177983B1 (zh)
CN (1) CN101802254B (zh)
WO (1) WO2009049020A2 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103014667A (zh) * 2011-09-23 2013-04-03 理想能源设备(上海)有限公司 化学气相沉积装置
CN104264217A (zh) * 2014-10-20 2015-01-07 佛山市中山大学研究院 一种制备半导体外延片的mocvd反应装置
WO2015018201A1 (zh) * 2013-08-06 2015-02-12 中国电子科技集团公司第四十八研究所 一种用于mocvd反应器的支撑轴及mocvd反应器
CN104498904A (zh) * 2014-12-29 2015-04-08 华中科技大学 一种用于mocvd设备的喷淋头
CN105088186A (zh) * 2011-11-23 2015-11-25 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置
CN105869979A (zh) * 2015-02-06 2016-08-17 株式会社日立国际电气 衬底处理装置、气体整流部、半导体器件的制造方法
CN106480423A (zh) * 2016-10-31 2017-03-08 烟台市烯能新材料股份有限公司 一种沉积石墨烯薄膜的设备及其沉积方法
CN108779576A (zh) * 2016-02-08 2018-11-09 洛佩诗公司 可感应加热的基座和外延沉积反应器
CN110023537A (zh) * 2016-09-19 2019-07-16 阿卜杜拉国王科技大学 基座
CN110846638A (zh) * 2014-10-07 2020-02-28 Asm Ip控股有限公司 可变传导性气体分布装置和方法

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8895107B2 (en) * 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP5410348B2 (ja) * 2010-03-26 2014-02-05 株式会社豊田中央研究所 表面処理装置
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN103502508B (zh) 2010-12-30 2016-04-27 维易科仪器公司 使用承载器扩展的晶圆加工
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
US9816184B2 (en) * 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
DE102012205616B4 (de) * 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9691641B2 (en) * 2012-12-13 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of cleaning wafers
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9388493B2 (en) 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
CN107424901B (zh) * 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
KR200486353Y1 (ko) * 2013-03-12 2018-05-09 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 시스템을 위한 윈도우 조립체
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
KR101500546B1 (ko) * 2014-07-07 2015-03-12 (주)앤피에스 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102372893B1 (ko) 2014-12-04 2022-03-10 삼성전자주식회사 발광 소자 제조용 화학 기상 증착 장치
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101653987B1 (ko) * 2015-04-10 2016-09-05 엔비스아나(주) 기판 오염물 분석 장치 및 기판 오염물 분석 방법
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
DE102018130139A1 (de) * 2018-11-28 2020-05-28 Aixtron Se Gaseinlassvorrichtung für einen CVD-Reaktor
KR20210086712A (ko) * 2018-11-28 2021-07-08 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 증기 챔버를 포함하는 페데스탈
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN113924386A (zh) * 2019-05-15 2022-01-11 应用材料公司 用于处理系统的动态多区流动控制
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR102260972B1 (ko) * 2019-07-24 2021-06-04 (주)유니버셜스탠다드테크놀러지 기판 처리 장치
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11841036B2 (en) 2019-08-05 2023-12-12 Ichor Systems, Inc. Laminar flow restrictor and seal for same
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
WO2021026222A1 (en) * 2019-08-05 2021-02-11 Ichor Systems, Inc. Seal for a flow restrictor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022040389A1 (en) * 2020-08-19 2022-02-24 The Regents Of The University Of California Chemical reaction and conversion in thermally heterogeneous and non-steady-state chemical reactors
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) * 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) * 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113445129A (zh) * 2021-06-21 2021-09-28 无锡吴越半导体有限公司 一种防止工艺气体回流的气相外延反应腔结构
US20230019430A1 (en) * 2021-07-13 2023-01-19 Changxin Memory Technologies, Inc. Gas injector and diffusion furnace device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114105679B (zh) * 2021-11-25 2023-05-16 西安鑫垚陶瓷复合材料有限公司 化学气相渗透导流设备及利用其制备陶瓷复材管件的方法

Family Cites Families (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3398718A (en) * 1965-03-10 1968-08-27 Atomic Energy Commission Usa Fluidized-bed coating apparatus
DE1521538A1 (de) 1966-02-25 1969-09-11 Telefunken Patent Verfahren zum Herstellen duenner Oxidschichten auf Halbleiterkoerpern oder anderen Substraten
US3621812A (en) * 1969-06-18 1971-11-23 Texas Instruments Inc Epitaxial deposition reactor
US3673983A (en) 1970-04-27 1972-07-04 Rca Corp High capacity deposition reactor
US4392453A (en) 1981-08-26 1983-07-12 Varian Associates, Inc. Molecular beam converters for vacuum coating systems
JPS6097622A (ja) 1983-11-01 1985-05-31 Toshiba Mach Co Ltd エピタキシヤル装置
US4579080A (en) 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
US4596208A (en) 1984-11-05 1986-06-24 Spire Corporation CVD reaction chamber
US4714091A (en) 1985-06-10 1987-12-22 Emcore, Inc. Modular gas handling apparatus
US4969416A (en) 1986-07-03 1990-11-13 Emcore, Inc. Gas treatment apparatus and method
US4772356A (en) 1986-07-03 1988-09-20 Emcore, Inc. Gas treatment apparatus and method
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
DE3721636A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Quarzglasreaktor fuer mocvd-anlagen
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
FR2628984B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a planetaire
DE3918094A1 (de) 1989-06-02 1990-12-06 Aixtron Gmbh Verfahren zur herstellung von dotierten halbleiterschichten
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH04175294A (ja) 1990-11-09 1992-06-23 Fujitsu Ltd 気相成長装置
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5173336A (en) 1991-01-22 1992-12-22 Santa Barbara Research Center Metal organic chemical vapor deposition (MOCVD) reactor with recirculation suppressing flow guide
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
JP2766433B2 (ja) * 1992-07-23 1998-06-18 株式会社東芝 半導体気相成長装置
JP3115134B2 (ja) 1992-11-27 2000-12-04 松下電器産業株式会社 薄膜処理装置および薄膜処理方法
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
FR2737588B1 (fr) * 1995-08-01 2001-11-02 Kodak Pathe Nouveau produit pour radiographie industrielle
AU6962196A (en) * 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US5534068A (en) 1995-10-27 1996-07-09 Specialty Coating Systems, Inc. Parylene deposition apparatus including a tapered deposition chamber and dual vacuum outlet pumping arrangement
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
TW322602B (zh) * 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
JP3901252B2 (ja) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US5835678A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
EP0946782A1 (en) 1996-11-27 1999-10-06 Emcore Corporation Chemical vapor deposition apparatus
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5759281A (en) 1997-06-30 1998-06-02 Emcore Corporation CVD reactor for uniform heating with radiant heating filaments
JPH1167675A (ja) 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd 高速回転気相薄膜形成装置及びそれを用いる高速回転気相薄膜形成方法
JP4812938B2 (ja) 1997-12-15 2011-11-09 レック シリコン インコーポレイテッド 多結晶シリコン棒製造用化学的蒸気析着方式
KR100524204B1 (ko) 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
DE19980266D2 (de) 1998-02-18 2000-05-25 Aixtron Ag CVD-Reaktor und dessen Verwendung
US6080241A (en) 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
JP2000114184A (ja) 1998-10-02 2000-04-21 Toshiba Corp 半導体ウェーハの気相成長装置
DE19855637A1 (de) 1998-12-02 2000-06-15 Aixtron Ag Verfahren und System zur Halbleiterkristallherstellung mit Temperaturverwaltung
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6313443B1 (en) * 1999-04-20 2001-11-06 Steag Cvd Systems, Ltd. Apparatus for processing material at controlled temperatures
US6368404B1 (en) 1999-04-23 2002-04-09 Emcore Corporation Induction heated chemical vapor deposition reactor
US6349270B1 (en) 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
US6214121B1 (en) 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US6530992B1 (en) 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
EP1226292A1 (en) 1999-07-26 2002-07-31 Emcore Corporation Apparatus for growing epitaxial layers on wafers
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
KR100728244B1 (ko) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 실리레이션처리장치 및 방법
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
WO2001057289A1 (de) 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
US7473316B1 (en) 2000-04-12 2009-01-06 Aixtron Ag Method of growing nitrogenous semiconductor crystal materials
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
DE10064944A1 (de) 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
AU2001283944A1 (en) * 2000-09-22 2002-04-02 Aixtron Ag Gas inlet mechanism for cvd-method and device
US6492625B1 (en) 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
KR100814980B1 (ko) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
DE10055182A1 (de) 2000-11-08 2002-05-29 Aixtron Ag CVD-Reaktor mit von einem Gasstrom drehgelagerten und -angetriebenen Substrathalter
US6533867B2 (en) 2000-11-20 2003-03-18 Applied Epi Inc Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
EP1344243A1 (de) 2000-12-23 2003-09-17 Aixtron AG Verfahren und vorrichtung zur bearbeitung von halbleitersubstraten
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6506252B2 (en) 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6547876B2 (en) 2001-02-07 2003-04-15 Emcore Corporation Apparatus for growing epitaxial layers on wafers by chemical vapor deposition
JP2002235173A (ja) 2001-02-08 2002-08-23 Hitachi Ltd プラズマcvd装置及び堆積膜クリーニング方法
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6596133B1 (en) 2001-06-14 2003-07-22 Cvc Products, Inc. Method and system for physically-assisted chemical-vapor deposition
US6797108B2 (en) * 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
DE10151259A1 (de) 2001-10-17 2003-04-30 Aixtron Ag Verfahren und Vorrichtung zur Gewinnung von Korrelations-Werten aus Prozessparametern und Schichteigenschaften in einem CVD-Prozess
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
WO2003035927A2 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
TW561526B (en) 2001-12-21 2003-11-11 Aixtron Ag Method for depositing III-V semiconductor layers on a non-III-V substrate
WO2003054929A2 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren zum abscheiden von iii-v-halbleiterschichten auf einem nicht-iii-v-substrat
JP3912208B2 (ja) * 2002-02-28 2007-05-09 東京エレクトロン株式会社 熱処理装置
US7524532B2 (en) 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
DE10232731A1 (de) 2002-07-19 2004-02-05 Aixtron Ag Be- und Entladevorrichtung für eine Beschichtungseinrichtung
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
DE10320597A1 (de) 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR101188977B1 (ko) 2003-08-20 2012-10-08 비코 인스트루먼츠 인코포레이티드 수직 유동 회전 디스크 반응기용 알킬 압출 유동
EP1664380A2 (de) 2003-09-17 2006-06-07 Aixtron AG Verfahren und vorrichtung zur schichtenabscheidung unter verwendung von nicht-kontinuierlicher injektion
DE102004021578A1 (de) 2003-09-17 2005-04-21 Aixtron Ag Verfahren und Vorrichtung zur Abscheidung von ein-oder mehrkomponentigen Schichten und Schichtfolgen unter Verwendung von nicht-kontinuierlicher Injektion von flüssigen und gelösten Ausgangssubstanzen über eine Mehrkanalinjektionseinheit
US7235139B2 (en) 2003-10-28 2007-06-26 Veeco Instruments Inc. Wafer carrier for growing GaN wafers
WO2005054537A2 (en) 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
DE102004007984A1 (de) 2004-02-18 2005-09-01 Aixtron Ag CVD-Reaktor mit Fotodioden-Array
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP5519105B2 (ja) 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7645342B2 (en) 2004-11-15 2010-01-12 Cree, Inc. Restricted radiated heating assembly for high temperature processing
JP2006173560A (ja) 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR101332739B1 (ko) * 2005-01-18 2013-11-25 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
DE102005003984A1 (de) 2005-01-28 2006-08-03 Aixtron Ag Gaseinlassorgan für einen CVD-Reaktor
DE102005004312A1 (de) 2005-01-31 2006-08-03 Aixtron Ag Gasverteiler mit in Ebenen angeordneten Vorkammern
US7275861B2 (en) 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
DE102005056320A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit einem Gaseinlassorgan
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US7312422B2 (en) * 2006-03-17 2007-12-25 Momentive Performance Materials Inc. Semiconductor batch heating assembly
DE102006018515A1 (de) 2006-04-21 2007-10-25 Aixtron Ag CVD-Reaktor mit absenkbarer Prozesskammerdecke
US20070254100A1 (en) 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor without metalorganic-source temperature control
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
US20080102199A1 (en) 2006-10-26 2008-05-01 Veeco Instruments Inc. Multi-wafer rotating disc reactor with wafer planetary motion induced by vibration
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
TWI390608B (zh) 2007-01-12 2013-03-21 Veeco Instr Inc 氣體處理系統
DE102007009145A1 (de) 2007-02-24 2008-08-28 Aixtron Ag Vorrichtung zum Abscheiden kristalliner Schichten wahlweise mittels MOCVD oder HVPE
US8216419B2 (en) 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
KR100956352B1 (ko) * 2007-09-06 2010-05-07 세메스 주식회사 탄소나노튜브 제조장치 및 그 방법
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8021487B2 (en) 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
CN101911253B (zh) 2008-01-31 2012-08-22 应用材料公司 闭环mocvd沉积控制
CN102144280B (zh) 2008-08-29 2016-05-04 威科仪器有限公司 具有变化热阻的晶片载体
CN102171795A (zh) 2008-10-03 2011-08-31 维易科加工设备股份有限公司 气相外延系统
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
DE102010000554A1 (de) 2009-03-16 2010-09-30 Aixtron Ag MOCVD-Reaktor mit einer örtlich verschieden an ein Wärmeableitorgan angekoppelten Deckenplatte
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
WO2010129289A2 (en) 2009-04-28 2010-11-11 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110290175A1 (en) 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
US20100310766A1 (en) 2009-06-07 2010-12-09 Veeco Compound Semiconductor, Inc. Roll-to-Roll Chemical Vapor Deposition System
DE102009025971A1 (de) 2009-06-15 2010-12-16 Aixtron Ag Verfahren zum Einrichten eines Epitaxie-Reaktors
KR101115697B1 (ko) * 2009-12-02 2012-03-06 웅진폴리실리콘주식회사 에너지 효율을 높여주는 복사열 차단막을 갖는 화학기상증착 반응기
US8486726B2 (en) 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
DE102010000447A1 (de) 2010-02-17 2011-08-18 Aixtron Ag, 52134 Beschichtungsvorrichtung sowie Verfahren zum Betrieb einer Beschichtungsvorrichtung mit einer Schirmplatte
WO2011106064A1 (en) 2010-02-24 2011-09-01 Veeco Instruments Inc. Processing methods and apparatus with temperature distribution control
EP2543063B1 (en) 2010-03-03 2019-05-08 Veeco Instruments Inc. Wafer carrier with sloped edge
US20110237051A1 (en) 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
US20110259879A1 (en) 2010-04-22 2011-10-27 Applied Materials, Inc. Multi-Zone Induction Heating for Improved Temperature Uniformity in MOCVD and HVPE Chambers
CN102212877B (zh) 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
US8460466B2 (en) 2010-08-02 2013-06-11 Veeco Instruments Inc. Exhaust for CVD reactor
CN101922042B (zh) 2010-08-19 2012-05-30 江苏中晟半导体设备有限公司 一种外延片托盘支撑旋转联接装置
US20120058630A1 (en) 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
US20120083060A1 (en) 2010-09-30 2012-04-05 Jie Cui Integration of cluster mocvd and hvpe reactors with other process chambers
CN102485953B (zh) 2010-12-01 2014-07-30 北京北方微电子基地设备工艺研究中心有限责任公司 托盘装置及结晶膜生长设备
CN102560434B (zh) 2010-12-13 2014-10-22 北京北方微电子基地设备工艺研究中心有限责任公司 金属有机化合物化学气相沉积设备
CN102560636B (zh) 2010-12-14 2016-03-30 北京北方微电子基地设备工艺研究中心有限责任公司 一种基片承载装置及应用该装置的基片处理设备
CN102560431B (zh) 2010-12-21 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 金属有机化学气相沉积设备及其腔室组件
US20120171377A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
US8888360B2 (en) 2010-12-30 2014-11-18 Veeco Instruments Inc. Methods and systems for in-situ pyrometer calibration
US20120272892A1 (en) 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
CN102732859A (zh) 2011-04-08 2012-10-17 北京北方微电子基地设备工艺研究中心有限责任公司 气体传输装置及具有其的基片处理设备
CN102776489B (zh) 2011-05-09 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 进气环、进气组件、工艺腔装置和cvd设备
WO2012155264A1 (en) * 2011-05-13 2012-11-22 Greencentre Canada Group 11 mono-metallic precursor compounds and use thereof in metal deposition
US9653340B2 (en) 2011-05-31 2017-05-16 Veeco Instruments Inc. Heated wafer carrier profiling
CN102820206B (zh) 2011-06-10 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 热反射装置及半导体处理设备
US20130005118A1 (en) 2011-07-01 2013-01-03 Sung Won Jun Formation of iii-v materials using mocvd with chlorine cleans operations
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
WO2013033428A2 (en) 2011-08-30 2013-03-07 Applied Materials, Inc. In situ process kit clean for mocvd chambers
KR20130037688A (ko) 2011-09-01 2013-04-16 비코 인스트루먼츠 인코포레이티드 열 특징부를 갖는 웨이퍼 캐리어
CN105088186B (zh) 2011-11-23 2018-05-15 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103014667B (zh) * 2011-09-23 2015-07-01 理想能源设备(上海)有限公司 化学气相沉积装置
CN103014667A (zh) * 2011-09-23 2013-04-03 理想能源设备(上海)有限公司 化学气相沉积装置
CN105088187B (zh) * 2011-11-23 2018-09-18 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置
CN105088186B (zh) * 2011-11-23 2018-05-15 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置
CN105088186A (zh) * 2011-11-23 2015-11-25 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置
CN105088187A (zh) * 2011-11-23 2015-11-25 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置
WO2015018201A1 (zh) * 2013-08-06 2015-02-12 中国电子科技集团公司第四十八研究所 一种用于mocvd反应器的支撑轴及mocvd反应器
CN110846638B (zh) * 2014-10-07 2022-03-08 Asm Ip控股有限公司 可变传导性气体分布装置和方法
CN110846638A (zh) * 2014-10-07 2020-02-28 Asm Ip控股有限公司 可变传导性气体分布装置和方法
CN104264217B (zh) * 2014-10-20 2017-06-16 佛山市中山大学研究院 一种制备半导体外延片的mocvd反应装置
CN104264217A (zh) * 2014-10-20 2015-01-07 佛山市中山大学研究院 一种制备半导体外延片的mocvd反应装置
CN104498904A (zh) * 2014-12-29 2015-04-08 华中科技大学 一种用于mocvd设备的喷淋头
CN105869979B (zh) * 2015-02-06 2017-11-28 株式会社日立国际电气 衬底处理装置、气体整流部、半导体器件的制造方法
CN105869979A (zh) * 2015-02-06 2016-08-17 株式会社日立国际电气 衬底处理装置、气体整流部、半导体器件的制造方法
CN108779576A (zh) * 2016-02-08 2018-11-09 洛佩诗公司 可感应加热的基座和外延沉积反应器
CN110023537A (zh) * 2016-09-19 2019-07-16 阿卜杜拉国王科技大学 基座
US11339478B2 (en) 2016-09-19 2022-05-24 King Abdullah University Of Science And Technology Susceptor
CN106480423A (zh) * 2016-10-31 2017-03-08 烟台市烯能新材料股份有限公司 一种沉积石墨烯薄膜的设备及其沉积方法

Also Published As

Publication number Publication date
US20120111271A1 (en) 2012-05-10
WO2009049020A3 (en) 2009-07-16
KR20100070333A (ko) 2010-06-25
US8778079B2 (en) 2014-07-15
CN101802254B (zh) 2013-11-27
US20140216341A1 (en) 2014-08-07
US20140216347A1 (en) 2014-08-07
JP2011500961A (ja) 2011-01-06
EP2215282A4 (en) 2010-11-17
EP2215282B1 (en) 2016-11-30
KR101177983B1 (ko) 2012-08-29
WO2009049020A2 (en) 2009-04-16
EP2215282A2 (en) 2010-08-11

Similar Documents

Publication Publication Date Title
CN101802254B (zh) 化学气相沉积反应器
TWI523974B (zh) A CVD reactor carried by a multi-zone air cushion, and a method of controlling the temperature of the surface temperature of the substrate base
US8152924B2 (en) CVD reactor comprising a gas inlet member
US10544518B2 (en) Chamber components for epitaxial growth apparatus
US9427762B2 (en) Gas injector and cover plate assembly for semiconductor equipment
US7655093B2 (en) Wafer support system
CN201284372Y (zh) 多重气流金属有机物化学气相沉积设备的反应腔体
TW201423902A (zh) 半導體反應室之噴淋頭
WO2005010227A2 (en) Chemical vapor deposition reactor
CN102021530A (zh) 多重气体耦合金属有机物化学气相沉积设备反应腔体
CN101824606A (zh) 一种垂直喷淋式mocvd反应器
EP3497259A1 (en) System and method for gas phase deposition
CN115305458B (zh) 一种气体分配件、气体输送装置及其薄膜处理装置
KR100580062B1 (ko) 화학기상성장장치 및 막 성장방법
WO2019033052A1 (en) APPARATUS AND METHODS FOR IMPROVING CHEMICAL VAPOR PHASE (CVD) DEPOSITION UNIFORMITY
CN103184434A (zh) 托盘装置、托盘及半导体处理设备
CN103774115B (zh) 化学气相沉积装置
TW201339353A (zh) 金屬有機化合物化學氣相沉積方法及其裝置
KR20090058769A (ko) 화학 기상 증착 장치
CN218812237U (zh) 一种提高成膜质量的进气结构
US20240052488A1 (en) Feeding block and substrate processing apparatus including the same
TW202245110A (zh) 用於磊晶和cvd腔室的氣體噴射器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: new jersey

Applicant after: Valence Process Equipment Inc.

Address before: American Pennsylvania

Applicant before: Valence Process Equipment Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20131127

Termination date: 20171009