CN101911253B - 闭环mocvd沉积控制 - Google Patents

闭环mocvd沉积控制 Download PDF

Info

Publication number
CN101911253B
CN101911253B CN2009801016790A CN200980101679A CN101911253B CN 101911253 B CN101911253 B CN 101911253B CN 2009801016790 A CN2009801016790 A CN 2009801016790A CN 200980101679 A CN200980101679 A CN 200980101679A CN 101911253 B CN101911253 B CN 101911253B
Authority
CN
China
Prior art keywords
substrate
chamber
metering
processing
iii
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009801016790A
Other languages
English (en)
Other versions
CN101911253A (zh
Inventor
苏杰
洛里·D·华盛顿
戴维·布尔
雅各布·格雷森
桑迪普·尼杰霍安
罗纳德·史蒂文斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101911253A publication Critical patent/CN101911253A/zh
Application granted granted Critical
Publication of CN101911253B publication Critical patent/CN101911253B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一种用来监视和控制用于组合工具的基板处理参数的方法和装置,所述组合工具利用化学气相沉积和/或氢化物气相外延(HVPE)沉积。在一个实施方式中,金属有机化学气相沉积(MOCVD)工艺用于在处理室内在多个基板上沉积III族氮化物膜。闭环控制系统执行原位监视III族氮化物膜生长速率,并且根据需要来调整膜生长参数以保持目标生长速率。在另一实施方式中,闭环控制系统对于一个或多个膜沉积系统的多个处理室执行原位监视膜生长参数。

Description

闭环MOCVD沉积控制
发明背景
技术领域
本发明的实施方式一般涉及用于工艺监视和控制基板上化学气相沉积(CVD)的方法和装置,且特别涉及用在金属有机化学气相沉积和/或氢化物气相外延(hydride vapor phase epitaxy)处理系统中的闭环过程控制系统(closed-loop process control system)。
现有技术描述
发现III-V族膜在各种半导体器件例如短波长发光二极管(LED)、激光二极管(LD)和包括高功率、高频率、高温晶体管和集成电路的电子器件的开发和制造中较为重要。例如,使用III族氮化物半导体材料氮化镓(GaN)来制造短波长(例如蓝/绿到紫外线)LED。已经发现,使用GaN制造的短波长LED较使用诸如II-VI族材料的非氮化物半导体材料制造的短波长LED能提供明显更高的效率和更长的工作寿命。
已被用于沉积诸如GaN的III-V族膜的一种方法是金属有机化学气相沉积(MOCVD)。该化学气相沉积方法通常在具有温控环境的反应器内进行,以确保含有选自III族的至少一种元素诸如镓(Ga)的第一前驱物气体的稳定性。诸如氨气(NH3)的第二前驱物气体提供形成III族氮化物所需的氮。两种前驱物气体被注入到反应器中的处理区域内,这里它们混合且向处理区域中的加热基板移动。载气可用于帮助向基板传输前驱物气体。前驱物在加热基板表面反应,以在基板表面上形成诸如GaN的III族氮化物层。
多个基板可被布置在用于批处理的沉积反应器内的基板载体(substratecarrier)上,为增加产率和产量,批处理是较为理想的。这些因素很重要,因为它们直接影响制造电子器件的成本,并由此影响市场中器件制造商的竞争力。
沉积在每个基板上的III-V族膜的质量取决于很多膜生长参数,它们包括例如反应器压力、前驱物流速、基板温度、膜应力和膜生长速率。生长参数可根据膜生长速率或其他生长参数来确定,它们在先前的基板处理操作(process run)期间和/或之后得到测量。举例来说,各种计量工具(metrologytool)可用于测量诸如膜应力和膜生长速率之类的不同的膜生长参数。希望在基板处理期间测量和监视膜生长参数以使处理结果与膜生长参数相关联,从而膜质量和生长速率可被优化并在随后的处理操作时可以再现(reproduced)。然后,膜生长参数例如可由操作员监视并随需要调整为预定值或设定点,以实现所需的膜质量和生长速率。
一个或多个膜生长参数在基板处理期间可能会偏离所需的预定值。偏差速率可能很快或者平缓(gradual)以致该偏差可能不能被操作员检测到,并且对于整批基板来说,所沉积膜的质量可能受到不利影响。而且,具有多个处理反应器的组合工具(cluster tool)可能需要监视大量膜生长参数数据并控制可能会增加操作员误差和较差膜质量的可能性的很多生长参数。
随着对LED、LD、晶体管和集成电路需求的增加,沉积高质量III-V族膜的效率变得越来越重要了。因此,需要一种用于在基板处理期间监视和控制膜生长参数的改进的装置和方法。
发明内容
本发明一般提供用于监视和控制MOCVD和/或氢化物气相外延处理系统中III-V族结构处理的改进的方法和装置。
一个实施方式提供一种用于监视和控制III-V族结构的处理的基板处理系统。该基板处理系统一般包括室、一个或多个计量工具和系统控制器,在所述室中在基板上沉积III-V族膜,所述室具有基板载体,所述一个或多个计量工具适于测量设置在基板载体上的基板的表面特性,所述系统控制器用于根据由计量工具所获得的测量结果来控制所述室的工艺参数。
另一实施方式提供用于监视和控制III-V族结构的处理的一种组合工具。所述组合工具包括传送室(transfer chamber)、一个或多个处理室、维护室(service chamber)、一个或多个计量工具和系统控制器,这里所述一个或多个处理室中的至少一个处理室适于将III-V族膜沉积于基板上,所述一个或多个计量工具适于测量基板的表面特性,所述系统控制器用于根据由计量工具获取的测量结果来控制所述一个或多个处理室的工艺参数。
在再一实施方式中,提供一种用于控制两个或更多个组合工具的系统,每一个组合工具都具有至少一个室,在所述室中将III-V族膜沉积在基板上。所述系统一般包括第一系统控制器、第二系统控制器和系统间(inter-system)控制器,所述第一系统控制器用于控制第一组合工具的工艺参数,所述第二系统控制器用于控制第二组合工具的工艺参数,这里这些组合工具中的至少一个组合工具具有一个或多个计量工具,适于测量基板的表面特性,所述系统间控制器用于根据由所述一个或多个计量工具所获取的测量结果来控制所述两个或更多个组合工具的工艺参数。
附图说明
为了能够具体地理解本发明的上述特征的方式,可通过参考实施方式对上文所简要概括的本发明进行更具体的描述,这些实施方式中的一些实施方式于附图中示出。但是,应当注意到,附图仅示出了本发明的典型实施方式,由于本发明还可允许其他等效实施方式,因此附图并不被认为限制了本发明的范围。
图1是氮化镓基结构的示意图;
图2A是根据本发明一个实施方式的化学气相沉积装置的示意图;
图2B是根据本发明另一实施方式的化学气相沉积装置的示意图;
图3A是包括根据本发明一个实施方式的计量工具的图2A中所示化学气相沉积室的示意图;
图3B是包括根据本发明另一实施方式的计量工具的图2A中所示化学气相沉积室的示意图;
图4A是具有适于基板处理的计量室和处理室的处理系统一个实施方式的示意性平面图;
图4B是根据本发明一个实施方式的多系统处理系统的平面图;
图4C是图4A中所示处理系统另一实施方式的平面图;和
图5示出了工艺顺序,用于使用根据一个实施方式图4A中所示的处理系统来制造氮化物化合物(compound nitride)半导体结构。
为了便于理解,尽可能地,使用相同元件符号来指示所有附图中共有的相同元件。可预期,一个实施方式的元件和特征可有利地结合到其他实施方式中而不需列举。
详细描述
本发明的实施方式一般提供一种可以用于使用MOCVD和/或氢化物气相外延(HVPE)沉积来制造III-V族结构的方法和装置。在2008年1月31号提交的名称为“CVD Apparatus”的美国专利申请序列号为12/023,520和2008年1月31号提交的名称为“Processing System for Fabricating CompoundNitride Semiconductor Devices”的美国专利申请序列号为No.12/023,572中描述了可适于实践本发明的示范性系统和室,两者都通过以上参考并入本文。在2006年4月14号提交的美国专利申请序列号为11/404,516和2006年5月5号提交的美国专利申请序列号为11/429,022中描述了其他可适于实践本发明的示范性系统和室,在此通过参考将两者并入本文。
图1是氮化镓基结构的示意图,示出了膜层的类型和可用于制造这种结构的处理步骤。在本实例中,于图1中示出,氮化镓基结构是LED(发光二极管)结构10。制造始于清洁的蓝宝石基板11,在蓝宝石基板11上沉积了具有约300埃厚度的GaN(氮化镓)缓冲层13。GaN缓冲层13可使用MOCVD工艺沉积,所述MOCVD工艺在约550摄氏度(℃)的处理温度下沉积GaN材料约5分钟。
接下来,n-GaN层14沉积在GaN缓冲层13上。n-GaN层14通常在较高温度,例如1050℃下沉积,且n-GaN层14相对较厚,厚度接近4微米(μm),这可能需要约140分钟的总沉积时间。下一层是InGaN(氮化铟镓,indium-gallium-nitride)层15,InGaN层用作多量子阱(multi-quantum-well)层,且可以在750℃温度下沉积约40分钟至约750埃的厚度。在InGaN层15之后,p-AlGaN(氮化铝镓,aluminum-gallium-nitride)层16可沉积在InGaN层15上至约200埃的厚度,在接近950℃温度下约5分钟内完成沉积。最终的层是p-GaN层17,p-GaN层17用作接触层,且在1050℃温度下沉积约25分钟达到约0.4微米的最终厚度。
图2A是根据本发明一个实施方式的化学气相沉积装置的示意图。可使用图2A中描述的装置来制造图1中描述的LED结构。图2A中示出的装置100包括室102A、气体传送系统125、真空系统112、远程等离子体源126、系统控制器161和操作员接口(interface)167。室102A包括室主体103,室主体103包围处理空间(volume)108。喷头组件104设置在处理空间108的一端,而基板载体114设置在处理空间108的另一端。下部圆顶(dome)119设置在下部空间110的一端,而基板载体114被设置在下部空间110的另一端。示出基板载体114在处理位置,但是可移向下部位置,这里例如可装载或卸载基板“S”。排气环(exhaust ring)120可围绕基板载体114的周界(periphery)设置,以有助于防止在下部空间110发生沉积,且也有助于直接从室102A排除气体至排气端109。下部圆顶119可由诸如高纯石英之类的透明材料制成,以允许光通过以辐射加热基板“S”。可由设置在下部圆顶119下方的多个内部灯121A、中央灯121B和外部灯121C来提供辐射加热,而反射器116可用于帮助控制室102A暴露给由内部灯、中央灯和外部灯121A、121B、121C所提供的辐射能。其他的灯结构也可用于基板“S”的更精细温度控制。
基板载体114可包括一个或多个凹槽116,处理期间可在所述一个或多个凹槽中设置一个或多个基板“S”。基板载体114可承载六个或更多个基板“S”。在一个实施方式中,基板载体114承载八个基板“S”。应当理解,在基板载体114上可承载更多或更少的基板“S”。典型的基板“S”可包括蓝宝石、碳化硅(silicon carbide,SiC)、硅、或氮化镓(GaN)。应当理解,可处理诸如玻璃基板之类的其他类型基板。基板尺寸范围可以是直径从50mm-100mm或更大。基板载体114尺寸范围可从200mm-750mm。基板载体114可由多种材料形成,包括SiC或涂敷SiC的石墨(graphite)。应当理解,根据在此描述的工艺,在室102A内可处理其他尺寸基板。较之常规MOCVD室中基板的数量和尺寸,如在此描述的那样的喷头组件104可便于实现跨更大数量基板和/或更大基板的更加均匀的沉积,由此增加了产量且降低了每个基板的处理成本。
基板载体114可在处理期间绕轴旋转。在一个实施方式中,基板载体114可在约2RPM至约100RPM下旋转。另一实施方式中,基板载体114可在约30RPM下旋转。旋转基板载体114有助于提供基板“S”的均匀加热和处理气体均匀暴露给每个基板“S”。基板载体114也可向上或向下移动,以允许基板处理以及向和从室102A传送基板,且可通过由系统控制器161控制的电机或致动器(未示出)来使得基板载体114能够垂直移动和旋转。
多个内部灯、中央灯和外部灯121A、121B、121C可布置在同心圆或区域(未示出)中,且每个灯或灯区域可被分别供电。在一个实施方式中,一个或多个诸如高温计的温度传感器(见图3A)可设置在喷头组件104内,以测量基板和基板载体114的温度,且所述温度数据可被发送到系统控制器161中,所述系统控制器能够调整供给分立的灯区域的功率以保持跨基板载体114的预定温度分布曲线(temperature profile)。在另一实施方式中,供给分立的灯区域的功率可被调整成补偿前驱物流或前驱物浓度非均匀性。例如,如果在外部灯区域附近基板载体114区的前驱物浓度较低,则可调整供给外部灯区域的功率以帮助补偿该区中的前驱物损耗(precursor depletion)。
内部灯、中央灯和外部灯121A、121B、121C可将基板“S”加热至约400摄氏度至约1200摄氏度的温度。可以理解,本发明不限于使用灯阵列。可采用任何合适的加热源来保证足以给室102A和在所述室中的基板“S”提供适当温度。例如,另一实施方式中,加热源可包括电阻加热元件(未示出),所述电阻加热元件与基板载体114热接触。
气体传送系统125可包括多个气体源,或者根据正进行的工艺,一些源可以是液体源而不是气体,这种情况下,气体传送系统可包括液体注入系统或其他装置(例如鼓泡器(bubbler)),以汽化液体。然后,在传送到室102A之前,蒸汽可以与载气混合。可将不同气体例如前驱物气体、载气、净化气体(purge gas)、清洁/蚀刻气体或其他气体从气体传送系统125提供到分立的供应线路(supply line)131、132和133至喷头组件104。供应线路131、132和133可包括截止阀(shut-off valve)和质量流量控制器或者其他类型的流量控制器,以监视和调节或关闭每一线路中的气体流,并且所述阀、流量控制器和其他气体传送系统125部件可又受系统控制器161控制。
管道(conduit)129可接收来自远程等离子体源126的清洁/蚀刻气体。远程等离子体源126可经由供应线路124接收来自气体传送系统125的气体,阀130可设置在喷头组件104和远程等离子体源126之间。阀130可被打开,以允许清洁和/或蚀刻气体或等离子体经由供应线路133流入到喷头组件104中,所述供应线路可适于用作等离子体管道。另一实施方式中,装置100可以不包括远程等离子体源126,并且可使用替换的供应线路配置将清洁/蚀刻气体从气体传送系统125传送到喷头组件104,用于非等离子体清洁和/或蚀刻。
远程等离子体源126可以是射频或者微波等离子体源,适于室102A清洁和/或基板蚀刻。清洁和/或蚀刻气体可经由供应线路124提供到远程等离子体源126以产生等离子体物种(plasma species),等离子体物种可经由管道129和供应线路133输送,以便通过喷头组件104扩散到室102A中。用于清洁应用的气体可包括氟、氯、或其他反应元素。
在另一实施方式中,气体传送系统125和远程等离子体源126可以适当适配,以使前驱物气体可被提供到远程等离子体源126以产生等离子体物种,等离子体物种可经由供应线路131、132通过喷头组件104输送,以例如在基板“S”上沉积诸如III-V族膜这样的CVD层。可根据预定操作参数由系统控制器161在清洁和/或沉积工艺过程中来控制远程等离子体源126和气体传送系统125。
可从设置在基板载体114下方和室主体103底部附近的进气端口(inletports)或管(未示出)和/或从喷头组件104传送净化气体(例如氮气)至室102A中。净化气体进入到室102A的下部空间110并向上流过基板载体114和排气环120并进入到多个排气端口(exhaust port)109,排气端口围绕环形排气通路(exhaust channel)105设置。排气管道(exhaust conduit)106将环形排气通路105连接至包括真空泵(未示出)的真空系统112。可使用阀门系统107来控制室102A的压力,所述阀门系统控制排放的气体从环形排气通路105排出的速率。气体监视工具(例如残留气体分析仪(residual gas analyzer),IR)160可耦合到排气管道106并与之流体连通。气体监视工具160可用于检测室102A内的泄漏或者检测室清洁工艺的终点,或者用于其他气体分析或监视目的,并且可通过系统控制器161来监视来自气体监视工具160的数据。
系统控制器161包括中央处理单元(CPU)162、存储器163和用于CPU162的支持电路(support circuit)164,并且系统控制器161能够控制装置100和装置100中的室102A的操作参数和活动,以及同样地,控制沉积工艺。从系统控制器161延伸出的信号线165允许控制信号从系统控制器161发送到装置100和室102A的各部件(例如,内部灯、中央灯和外部灯121A-121C,真空系统112)的控制输入。操作员接口167可包括键盘、监视器和其他部件,所述其他部件为装置100提供用于手工输入操作和处理参数的手段。
系统控制器161可以是任何形式通用计算机处理器中的一种,所述计算机处理器能够用在控制各种室和子处理器的工业设置中。CPU162的存储器163或计算机可读介质可以是易获得的本地或远程存储器中的一种或多种,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其他形式的数字存储装置。支持电路164耦合到CPU162,用于以常规方式支持处理器。这些电路包括缓存器、电源、时钟电路、输入/输出电路和子系统和类似物。本发明的方法通常被存储在存储器163中作为软件例程(softwareroutine),但是也可以是ASIC。可选地,这种软件例程也可由第二CPU(未示出)存储和/或执行,该第二CPU位于距受到CPU 162控制的硬件远距离的地方。
图2A中示出的用于室102A的喷头组件104可适于金属有机化学气相沉积(MOCVD)应用。在基板处理期间,工艺气体152从喷头组件104流向基板“S”的表面。工艺气体152可包括一种或多种MOCVD前驱物气体以及可与前驱物气体混合的载气和掺杂气体。在2007年10月16日提交的美国专利申请序列号为11/873,132、11/873,141,和11/873,170中描述了适于实践本发明的示例性喷头,每一份申请内容通过参考将所述申请内容的整体并入本文。
在另一实施方式中,喷头组件104可适于用在熟知为氢化物气相外延(HVPE)的另一沉积技术中。HVPE工艺在一些III-V族膜特别是GaN的生长方面提供了几点优势,诸如高生长速率、相对简单和在成本效益(costeffectiveness)方面有优势。该技术中,由于高温、在氯化镓(GaCl)和氨气(NH3)之间的气相反应(vapor phase reaction),导致GaN进行生长。氨气可以从标准气体源提供,而通过使诸如HCl的含氢化物气体通过加热的液体镓源上方来产生GaCl。氨气和GaCl这两种气体被导向至加热的基板,这里两者反应以在基板的表面上形成外延的GaN膜。总之,通过将含氢化物气体(诸如HCl,HBr,或者HI)流过III族液体源上方以形成III族卤化物气体,然后将III族卤化物气体与诸如氨气之类的含氮气体进行混合以形成III族氮化物膜,HVPE工艺可用于生长其他III族氮化物膜。
气体传送系统125可包括室102A外部的热源舟(heated source boat)(未示出)。热源舟可含有金属源(例如Ga),所述金属源(被加热成液相,并且含氢化物气体(例如HCl)可流过该金属源上方以形成诸如GaCl之类的III族卤化物气体。然后,III族卤化物气体和含氮前驱物气体例如NH3可经由供应线路131、132被传送到喷头组件104,以便注入到处理空间108中以在基板“S”上沉积诸如GaN之类的III族氮化物膜。在另一实施方式中,一个或多个供应线路131、132可被加热以从外部舟传送前驱物至室102A。系统控制器161可用于监视和控制气体传送系统125的各种部件的加热。
图2B是根据本发明另一实施方式化学气相沉积装置的示意图。所述装置100可以适当地适配以包括适于HVPE沉积的室102B。室102B包括包围处理空间108的室主体103。喷头组件104设置在处理空间108的一端,而基板载体114设置在处理空间108的另一端。多个灯130A、130B可设置在基板载体114下方。对于很多应用,典型的灯布置可包括在基板“S”上方(未示出)和下方(未示出)成排的灯。一个或多个灯130A、130B可被供电以加热基板“S”以及设置在喷头组件104中的源舟280。
源舟280可环绕室主体103,而且例如,诸如镓、铝或铟的金属源221可填充源舟280的阱(well)220。源舟280可被加热,使得金属源221被加热到液相,并且含氢化物气体(例如HCl)可流过通路210和并流过金属源221上方从而形成诸如GaCl之类的III族卤化物气体,通过位于喷头组件104内的气体管(未示出)所述III族卤化物气体被引入到处理空间108中。例如,诸如氨气之类的含氮气体可通过分立组的气体管(未示出)被引入到处理空间108中。在基板处理期间,可包括III族卤化物气体和含氮前驱物气体的工艺气体152从喷头组件104流向基板“S”,这里前驱物气体可在基板“S”的表面附近或者在基板“S”的表面处反应,从而例如在基板表面上沉积诸如GaN之类的金属氮化物。在2007年6月24日提交的美国专利申请序列号为11/767,520中描述了可用于实践本发明的用于HVPE沉积的示范性室和喷头,通过参考将所述专利申请的整体并入本文。
为了改善基板处理结果,经常希望在处理期间或者之后来监视工艺,从而能够在一个或多个基板完成处理之前校正偏离处理参数设定点的任何偏差。图3A是图2A中所示化学气相沉积室的示意图,所述化学气相沉积室包括根据本发明一个实施例的计量工具300。一个或多个传感器301和/或计量工具300可耦合到喷头组件104以测量例如诸如温度和压力之类的基板处理参数,以及沉积在基板上的膜的各种特性,诸如厚度、反射率、实时膜生长速率、组分、应力、粗糙度或其他膜特性。可以沿着室主体103的侧壁设置另外的传感器302,但是传感器301、302可位于室102A的任何位置处。来自计量工具300和/或传感器301的数据可沿着信号线165被传送到系统控制器161,从而系统控制器161能够监视数据。在一个实施方式中,系统控制器161适于响应于计量/传感器数据自动提供控制信号(见图2A)给装置100和室102A,从而提供闭环控制器系统。
传感器301、302和/或计量工具300中的每一个都耦合到管道303,所述管道303包括管或延伸壳体(extended housing)或通路,与喷头104或室主体103形成真空密封,且允许每个传感器301、302和/或计量工具300检查(access)室102A的内部空间(例如处理室108和/或下部空间110),同时仍保持室真空。每个管道303的一端都位于端口305附近,所述端口设置于喷头组件104和/或室主体103内。端口305与室102A的内部空间流体连通。在另一实施方式中,一个或多个端口305包括窗,以允许光通过但形成真空密封以防止与室102A内部流体连通。
每个管道303都容纳传感器/变换器(transducer)探针或其他器件,和/或为诸如激光束之类的定向辐射束提供路径。每个端口305适于流入净化气体(可为惰性气体),以防止端口305和管道303中器件上出现凝结(condensation)并能实现精确的原位(in-situ)测量。净化气体可具有围绕传感器探针或其他器件的环形流,所述其他器件设置于管道303内部和端口305附近。
在一个实施方式中,传感器301包括诸如高温计或者热电偶之类的温度传感器,例如用于测量基板“S”温度和/或其他温度,诸如喷头面306的温度。在其他实施方式中,传感器302包括温度传感器,用于测量室主体103侧壁的温度。喷头面306和室主体103与一个或多个热交换器(未示出)流体连通。
传感器301,302提供温度数据,所述温度数据由系统控制器161控制,所述系统控制器可控制热交换器,以调节喷头面306和室主体103的温度。在另一实施方式中,一个或多个传感器301、302包括压力传感器,以测量室102A内的压力。系统控制器161可用于在基板处理和室操作的各阶段期间监视和调节室压力。
在本发明的一方面,传感器301为高温计,所述高温计被适当地定位以使每个高温计能够监视灯区域的温度,每个灯区域都包括内部灯、中央灯或外部灯121A、121B和121C。计量工具300包括反射计(reflectometer),用于测量膜厚度,且所述反射计可被定位在喷头组件104上以使射束308可从基板“S”的表面反射,所述射束可为辐射束或颗粒(例如激光束,离子束)。如图3A中所示,射束308可被导向成几乎垂直于基板表面。
图3B是包括根据本发明另一实施方式计量工具300的于图2A中示出的化学气相沉积室的示意图。在一个实施方式中,计量工具300包括发射器304A和接收器304B。发射器304A发射射束308,所述射束308以一角度击打在基板“S”上,且一部分射束308从基板表面被反射回接收器304B。然后,将所接收到的信号与入射信号或发射的信号进行比较以测量基板的特性。然后,测量结果可被通讯传输到系统控制器161,以使系统控制器161能够调整工艺顺序中的一个或多个工艺参数从而改善基板处理结果。在一个实施方式中,适配计量工具300和管道303以使射束308击打在基板“S”上的角度可变化。
在另一实施方式中,一个或多个计量工具300可耦合到室主体103。在一个实施方式中,计量工具300可被调整方向,以使得射束308被导向成近似与切基板“S”表面相切以便测量例如基板弓弧(bow)和相关的膜应力。在另一实施方式中,计量工具300包括发射器304A和接收器304B,所述发射器304A和接收器304B定位在相对的壁上或者沿着室主体103的直径定位。在再一实施方式中,一个或多个计量工具300可被设置在基板“S”或者下部圆顶119下方。于图3A和3B中示出且在此描述的实施方式可组合,且可与在此描述的其他实施方式一起使用用于室102A和102B。
图4A是具有适于基板处理的计量室和处理室的处理系统的一个实施方式的示意性平面图。室102A和102B以及相关的装置100可用在处理系统中,所述处理系统包括组合工具400,所述组合工具400适配用于处理基板和分析在基板上进行的工艺的结果。组合工具400是一种模块化系统,包括执行用于形成电子器件的各种处理步骤的多个室。在本发明的一方面,组合工具400包括系统控制器161,所述系统控制器161适配用于实施各种基板处理方法和顺序并分析处理结果。
一个实施方式中,组合工具400包括基板处理模块(module)401、402、403和404,所述基板处理模块401、402、403和404分别被安装在传送室430的位置410A、410B、410C和410D中。位置410E和410F可含有预处理室或后处理室,诸如维护室411A和411B,适于除气(degassing)、定向、降温(cooldown)、预处理/预清洁、后退火和类似工艺。一些实施方式中,不是所有位置410A-410F都被处理室或预处理或后处理室占用,以降低系统成本或复杂性。在本发明的一个方面,传送室430为六面且为六边形形状,具有六个位置410A-410F用于处理室安装。在另一方面,传送室430可具有其他形状并可具有五面、七面、八面或更多面,具有相应数量的处理室安装位置。
每个基板处理模块410-404都包括诸如室102A或室102B的基板处理室,还可包括一个或多个支持模块(supporting module),例如支持诸如基板加热和室冷却的各种室功能。在本发明的一个方面,一个或多个基板处理模块401-404可包括另一种类型的基板处理室,诸如用于基板退火的快速热处理(rapid thermal processing,RTP)室,外延(EPI)沉积室,适配用于沉积金属、半导体或介电层的化学气相沉积(CVD)室,蚀刻室,溅射(PVD)室或其他类型的基板处理室。
传送室430具有内部空间431,内部空间431容纳机械手(robot)420,适配用于在基板处理模块401-404的处理室和维护室411A和411B之间传送基板“S”。机械手420一般包括叶片组件(blade assembly)421A、臂组件421B和驱动组件421C。在一个实施方式中,叶片组件421A支撑基板载体114,所述基板载体承载一个或多个基板“S”,且基板载体114被在基板处理模块401-404的处理室和维护室411A和411B之间传送。
传送室430包括盖(lid)414(部分示出),而内部空间431被保持在真空条件下。在另一实施方式中,传送室430的内部空间431可通过持续传送惰性气体到内部空间431而保持在大气压下或接近大气压。在一个实施方式中,内部空间431被填充有氮气且保持在约80乇(Torr)至约200乇的压力下。
参考图4A,在一个实施方式中,维护室411B是除气室,而维护室411A是批量负载锁定(load-lock,LL)室。批量负载锁定(LL)室也可用做基板的降温室。在另一实施方式中,维护室411A、411B中的一个可为专用降温室。任选的前端(front-end)环境(也被称作工厂接口(Factory Interface),未示出)可设置成与一个或多个维护室411A,411B选择性连通。
在一个实施方式中,组合工具400包括系统控制器161、多个基板处理模块401-404和一个或多个计量室405。计量室405包括一个或多个计量工具300,适配用于测量基板的各种特性。计量室405也可包括基板载体支撑表面406和升降组件(lift assembly)(未示出)以使机械手420可向或从计量室405传送基板载体114。
在一个实施方式中,计量室405包括设置在另一室中的区域或区,另一室诸如是传送室430,维护室411A和411B,和/或基板处理模块401-404的处理室。在另一实施方式中,计量室405包括专用室,所述专用室主要设计成用于测量各种基板特性而非用于基板处理。计量室405可位于组合工具400的任意便利位置处,它可由一个或多个组合工具机器人装置(robotic device)诸如机械手420够到(accessible)。
如图4A中所示,计量室405可位于一个或多个维护室411A、411B和/或传送室430中。此外,一个或多个计量室405可位于传送室430内,且位于传送室430内部任何合适的位置处。在一个实施方式中,计量室405可位于定位在位置410E或410F处的降温室内。在本发明的另一方面,专用计量室405可位于位置410A-410F中的任何一处位置。
图4B是根据本发明实施方式的多系统处理系统的平面图。多系统处理系统475包括第一组合工具471A、第二组合工具471B、系统间控制器470和操作员接口472。第一和第二组合工具471A、471B每一个都包括如此处所述的组合工具400。在另一实施方式中,多系统处理系统475可包括三个或更多个组合工具400。操作员接口472可包括键盘、监视器和其他部件,所述其他部件提供用于手工输入多系统处理系统475操作和处理参数的手段。
对于基板处理模块401-404,维护室411A、411B,和计量室405,多系统处理系统475中的每个组合工具400都可具有不同配置。例如,第一组合工具471A可包括仅适于HVPE沉积的一个或多个基板处理模块401-404,和位于位置410D处的专用计量室405。第二组合工具471B可包括适于HVPE和MOCVD沉积的两个或更多个基板处理模块401-404,和位于诸如室102A和/或102B之类的一个或多个基板处理室内部的计量工具300。系统控制器161被链接到(link to)系统间控制器470,以使数据可在每个系统控制器161和系统间控制器470之间前馈和/或反馈。
图4C是图4A中示出的处理系统另一实施方式的平面图。组合工具400包括两个MOCVD模块460和一个HVPE模块461,所述两个MOCVD模块460和一个HVPE模块461中每一个都被安装到传送室430。MOCVD模块460包括基板处理模块401、辅助模块451和化学制品传送(chemical delivery)模块452,所述辅助模块451可包括支持电气模块,所述化学制品传送模块452适配用于支持MOCVD沉积。基板处理模块401包括室102A。HVPE模块461包括基板处理模块403、辅助模块451和化学制品传送模块453,所述化学制品传送模块453适配用于支持HVPE沉积。基板处理模块403可包括室102A或室102B,所述室102A适配用于HVPE处理。
图4C的组合工具400也包括维护室411A和维护室411B,所述维护室411A包括批量负载锁定室,而所述维护室411B为除气室。具有基板载体114的装载站(loading station)450被耦合到除气室。组合工具400可具有各种模块配置。在一个实施方式中,HVPE模块461位于位置410D处,而MOCVD模块460位于位置410A处。可选地,组合工具400可包括例如位于位置410A处的单个MOCVD模块。
如在此所述,计量室405可位于一个或多个组合工具400内的各种位置处,以允许测量各种基板特性。对于原位测量,例如,一个或多个计量工具300可位于诸如处理室102A、102B的一个或多个基板处理室内部。能够被测量的基板特性包括但不限于:沉积在基板表面上的一层或多层中的应力或应变、一个或多个所沉积层的膜组分、基板表面上的颗粒数量以及基板上一层或多层的厚度。然后,可以通过系统控制器161和/或系统间控制器470利用从计量工具300所收集的数据来自动调整一个或多个处理步骤中的一个或多个处理参数,从而为一个或多个组合工具400关于随后处理的基板产生所需结果。
在一个实施方式中,一个或多个计量工具300和/或计量室405适配用于采用常规光测量技术来测量基板表面上所沉积膜的厚度和/或组分,这些技术包括椭圆光度法(ellipsometry)、反射测量法(reflectometry)或X射线光电子光谱法(X-ray photoelectron spectroscopy,XPS)。在另一实施方式中,一个或多个计量工具300和/或计量室405适配用于测量基板表面上所沉积膜的其他特性,所述其他特性可包括但不限于膜应力或应变、界面或表面粗糙度、在膜材料中元素的化学和电子状态、以及膜缺陷和/或污染物。
在一个实施方式中,一个或多个计量工具300和/或计量室405适配用于采用一个或多个测量技术,所述测量技术包括但不限于X射线衍射法((XRD))、X射线荧光法((XRF))、X射线反射法((X-ray reflectivity,XRR))、俄歇电子能谱法((Auger electron spectrometry,AES))、透射电子显微法((transmission electron microscopy,TEM))、原子力显微法((atomic forcemicroscopy,AFM))、UV拉曼光谱法、质量光谱测定法(例如残留气体分析仪)、能量色散光谱法((energy dispersive spectroscopy,EDS/TEM))、光致发光((PL))光谱法、电致发光((EL))光谱法(也被称作快闪LED光谱法,flash LED spectroscopy)以及声波检测技术,例如用于测量气体浓度或基板温度。在一个实施方式中,光致发光计量工具300位于维护室411A、411B内部,维护室411A、411B为降温室,以使光致发光测量可在基板冷却期间进行。
在另一实施方式中,一个或多个计量工具300和/或计量室405适配用于使用熟知为频带边缘温度测定法(band edge thermometry)的技术来测量基板温度。当光入射到半导体晶体上时,如果光子能量大于半导体晶体带隙能量,则光子吸收剧烈增加。与带隙能量对应的光子波长熟知为频带边缘波长且该波长与温度相关。由于半导体晶体带隙能量与晶格常数反向相关,因此当半导体晶体随着温度升高而膨胀时,带隙能量将降低,所以频带边缘波长会增加。已经意识到一些时候,对于0℃-1000℃范围内的温度,带隙能量通常是平滑的、近似线性的温度函数,因此该半导体特性构成非接触式(contactless)温度测量技术的基础。上述各种类型的计量工具300可被结合到组合工具400中,且用于改善化合物半导体结构的制造工艺,化合物半导体结构例如是于图1中示出的氮化镓基LED结构。
图5示出了根据一个实施方式使用图4A中示出的处理系统制造氮化物化合物半导体结构的工艺顺序。工艺顺序500始于步骤501,一个或多个基板“S”由机械手420传送到第一基板处理模块401中。然后,在步骤502,基板在基板处理模块401的基板处理室中被清洁。接下来,在步骤509,对用于初始外延沉积层的处理室建立诸如温度、压力和类似参数这样的所需膜生长参数。在步骤513提供前驱物流以沉积III1族氮化物结构。这些前驱物包括氮源和第一III族(III1族)元素的源,例如镓(Ga)源。例如,氨气(NH3)可用于氮源且三甲基镓(trimethyl gallium,“TMG”)可用作Ga源。III1族元素有时可包括诸如铝(Al)和Ga这样的多个不同的III族元素,且合适的Al源可以是三甲基铝(trimethyl aluminium,“TMA”)。另一实例中,多个不同的III族元素包括铟(In)和Ga,且合适的In前驱物为三甲基铟(trimethylindium,“TMI”)。也可包括诸如氮和/或氢之类的载气流。
在于步骤517中沉积III1族氮化物结构之后,前驱物流在步骤521终止。根据正在形成的特定结构,在步骤525,可对氮化物化合物半导体结构实施诸如进一步沉积和/或蚀刻步骤之类的其他处理步骤。
然后,在步骤529,基板从第一基板处理模块401被传送到第二基板处理模块402。在另一实施方式中,可使用任何基板处理模块顺序,只要第一和第二处理模块是不同的处理模块即可。可在高纯气体环境下在升高的温度下进行传送,可用于高纯气体环境的一些气体是氮气、氢气或氨气。在步骤533中,薄的III1族氮化物过渡层(transition layer)被沉积在III1族氮化物结构上。用于基板处理模块401中的III1族氮化物结构的相同前驱物可用于过渡层,但是也可使用不同的前驱物。
接下来,在步骤537中,为沉积III2族氮化物层建立诸如温度、压力和类似参数这样的所需的膜生长参数。在步骤541,提供前驱物气流用于步骤545中的III2族氮化物沉积,并且III2族元素不同于III1族元素,尽管III1族氮化物层和III2族氮化物层可共用相同的III族元素。例如,如果III1族氮化物层是GaN,则III2族氮化物层可以是AlGaN层或是InGaN层。在另一实例中,III2族氮化物层可具有四元(quaternary)组分而非三元(tertiary)组分,例如AlInGaN。如果III1族氮化物层是AlGaN,则III2族氮化物层可以是于AlInGaN层上的InGaN层。用于沉积III2族氮化物层的合适前驱物可以与用于III1族氮化物层和以上所述的前驱物类似。同样地,可使用类似载气。在沉积III2族氮化物层之后,前驱物流结束于步骤549。
如以上对于III1族氮化物结构所描述的,在步骤553进行的可包括进一步的沉积和/或蚀刻的其他处理,可对所沉积的III2族氮化物结构实施。如步骤557中所示,当完成了基板处理模块402中的处理时,基板被传送出基板处理模块402。
在另一实施方式中,基板可在步骤557被传送出第二模块,然后所述基板被传送到诸如第一模块基板处理模块401之类的另一模块中,或者传送到不同的第三模块中用于进一步的处理。对于特定器件的制造,可适当实施在不同处理模块当中的传送顺序,且本发明不限于可在特定制造工艺中使用的相关处理室和任何数量的处理模块,也不限于在组合工具400的任何独立处理模块中实施任何特定次数的处理。
一个或多个计量工具300和/或计量室405可与组合工具400集成到一起,以有助于确保工艺顺序的各步骤中基板处理的质量,所述工艺顺序例如是图5中描述的工艺顺序,尽管本发明可用于任何III-V族结构的制造,但不限于III族氮化物结构。计量工具300、传感器301和计量室405都可用于提供测量数据,例如,在诸如III族氮化物膜生长的基板处理的各阶段期间,或者在组合工具400上实施的其它类型沉积和/或蚀刻工艺期间,能够通过系统控制器161来监视该测量数据。
然后,可在操作员接口167处观测被发送到系统控制器161的测量数据,以使诸如膜生长参数这样的处理参数可被手工调整,以便优化基板处理或对偏离最佳处理参数的任何偏差进行校正。在另一实施方式中,系统控制器161可适于闭环控制,从而系统控制器161能够根据需要基于在基板处理期间或在基板处理之前或之后所获取的计量测量数据来自动调整处理参数。
基板处理的各阶段的闭环控制提供几个优势。系统控制器161能够比人工操作员更有效地检测偏离预定处理参数值的偏差并对所述偏差作出反应,这是因为偏差速率可能很快或平缓以致可能不被人工操作员注意到。而且,系统控制器161和/或系统间控制器470能够更容易监视一个或多个组合工具400或多系统处理系统475的大量计量和处理数据。
在一个实施方式中,系统控制器161和/或系统间控制器470,一个或多个组合工具400,计量工具300,传感器301、302和计量室405构成闭环控制系统。在一个实施方式中,闭环控制系统适配用于使用统计过程控制(statistical process control,SPC)方法来监视各种基板处理操作,所述统计过程控制方法被应用于处理从一个或多个计量工具300、传感器301和计量室405所收集的测量数据,以检测偏离预设或目标工艺参数值的工艺偏差(process drift)。然后使用诸如比例-积分-微分(PID)控制器之类的反馈控制机制来自动校正任何检测到的工艺偏差,这种机制能够控制诸如温度、压力、气流和类似参数之类的各种处理室操作参数,从而处理参数自动返回所希望的设定点。
在一个实施方式中,闭环控制系统可用于监视和自动校正偏离工艺参数设定点的任何偏移,这发生在一个处理操作过程中或者从一个处理操作转向另一个时。在此,处理操作可以指的是诸如沉积、蚀刻或其他处理顺序的完整处理顺序,所述完整处理顺序在单个处理室内进行而不传送到另一个处理室。例如,步骤533的III1族氮化物沉积可被定义为一个处理操作。步骤545的III2族氮化物沉积可被定义为第二处理操作,两个操作都发生在基板处理模块402的同一处理室内。闭环控制系统可适配用于监视和控制从一个处理室到另一个处理室和/或在同一处理室内从一个处理操作转向另一个处理操作和单个处理操作、以及在多系统处理系统475内从一个组合工具400向另一个组合工具的基板处理。
例如,在基板处理模块402的处理室中,在步骤533的III1族氮化物沉积处理操作期间,可进行使用计量工具300的原位测量来监视诸如膜生长速率、温度、压力、前驱物流速和类似参数这样的膜生长参数。然后,该原位测量数据可被用于检测任何工艺偏差,并且闭环控制系统能够根据需要对膜生长参数进行实时调整以校正该偏差。而且,闭环控制系统也可适配用于存储和利用该测量数据来调整膜生长参数设定点,以优化用于在同一处理室内的随后III1族氮化物沉积处理操作的基板处理。
在另一实例中,闭环控制系统可适配用于监视和控制从一个处理室向另一个处理室的基板处理,诸如在基板处理模块401的处理室中步骤517的III1族氮化物沉积、和在基板处理模块402的处理室中步骤533的HI1族氮化物沉积,并且基板处理模块401和基板处理模块402可位于多系统处理系统475的不同的组合工具400上。
在一个实施方式中,闭环控制系统可适配用于使用原位测量数据来分配(assign)诸如厚度、掺杂等级、组分和其他这样的高级(high-level)膜层特性作为处理参数。换句话说,闭环控制系统可被适当地适配且配置有适当的软件和计量工具300,使得处理参数设定点是层特性而不是诸如温度、压力、前驱物流速和类似参数这样的处理参数。
除了以上原位工艺监视和控制的实例之外,闭环控制系统也可适配用于存储和利用测量数据,所述测量数据在各基板处理步骤或操作之后或之前通过使用一个或多个计量室405来获得,并且闭环控制系统可适配用于在一个或多个处理室内的随后处理步骤或操作中使用该数据来检测和校正工艺偏差,和/或使用该数据来校准工艺参数设定点用于工艺优化。例如,GaN膜生长参数例如温度、压力、前驱物流速和类似参数可通过GaN膜生长速率来确定,这些GaN膜生长速率根据在先前处理操作中使用的膜生长参数来校准。关于来自先前处理操作的GaN膜生长速率的信息可用于优化随后操作中将要使用的膜生长参数。膜生长速率可通过在一个生长时间周期期间进行膜厚度测量来确定。
如上所述,将一个或多个计量工具300集成到组合工具400中,这提供了基板处理数据,以允许闭环控制系统或人工操作员调整工艺配方(processrecipe)的基板处理参数从而校正工艺偏差和/或优化工艺配方。根据一个或多个计量工具300的位置,可在处理期间或在基板处理之前或之后采取原位的处理测量。原位测量的一个优势是在一个或多个基板被处理之前,可由闭环控制系统或人工操作员更快捕获和校正工艺偏差。在一个实施方式中,原位进行一个或多个计量测量,且测量数据用于在实施一个或多个工艺配方时控制这些配方。
虽然前述内容涉及到本发明的实施方式,但是可设计出本发明的其他和进一步的实施方式而不脱离本发明的基本范围,且本发明的范围通过以下的权利要求书确定。

Claims (15)

1.一种基板处理系统,所述基板处理系统包括:
处理室,在所述处理室中III-V族膜被沉积在基板上,所述处理室包括:
一个或多个壁,形成处理空间;
喷头组件,限定所述处理空间的顶部部分;
可旋转基板载体,位于所述喷头组件下方并限定所述处理空间的底部部分,其中所述基板载体具有用于保持基板的多个凹槽;
一个或多个计量工具,适于测量设置于所述基板载体上的基板的表面特性,所述一个或多个计量工具使用光致发光光谱法或频带边缘温度测定法;和
系统控制器,用以根据由所述一个或多个计量工具获取的测量结果来调整所述处理室的工艺参数,以自动校正处理操作内或从一个处理操作到另一个处理操作的工艺参数设定点的偏差。
2.如权利要求1的系统,其中所述一个或多个计量工具中的至少一个计量工具设置在所述喷头组件中。
3.如权利要求1的系统,其中所述一个或多个计量工具中的至少一个计量工具定位在耦接到所述处理室的传送室中,所述传送室包含机器人装置,用以将所述基板载体从所述处理室来回传送。
4.如权利要求1的系统,其中所述III-V族膜是氮化镓。
5.如权利要求1的系统,其中所述处理室是金属有机化学气相沉积(MOCVD)室或氢化物气相外延(HVPE)室。
6.如权利要求1的系统,其中所述一个或多个计量工具还适于使用高温测定法、反射测量法、椭圆光度法、电致发光光谱法或X射线衍射法(XRD)来测量基板的表面特性。
7.如权利要求1的系统,其中由所述一个或多个计量工具测量的基板的表面特性为选自由光致发光特性或温度组成的组的特性。
8.一种组合工具,所述组合工具包括:
传送室;
机械手,设置在所述传送室中;
一个或多个处理室,与所述传送室连通,其中所述一个或多个处理室中的至少一个处理室适于将III-V族膜沉积于基板上;
维护室,与所述传送室连通;
一个或多个计量工具,适于使用光致发光光谱法或电致发光光谱法来测量基板的表面特性;和
系统控制器,用以根据由所述一个或多个计量工具获取的测量结果来调整所述一个或多个处理室的工艺参数,以自动校正处理操作内或从一个处理操作到另一个处理操作的工艺参数设定点的偏差。
9.如权利要求8的组合工具,其中所述一个或多个计量工具中的至少一个计量工具为设置在所述维护室内的光致发光光谱法测量工具,所述维护室为降温室,以使光致发光测量在基板从沉积温度冷却期间进行。
10.如权利要求8的组合工具,其中所述一个或多个计量工具中的至少一个计量工具耦合到所述传送室并与所述传送室流体连通。
11.如权利要求8的组合工具,其中所述一个或多个计量工具中的至少一个计量工具设置在所述传送室内。
12.如权利要求8的组合工具,其中所述一个或多个计量工具中的至少一个计量工具设置在至少一个处理室内。
13.如权利要求8的组合工具,其中至少一个处理室适于MOCVD或HVPE沉积。
14.如权利要求8的组合工具,其中所述一个或多个计量工具还适于使用高温测定法、反射测量法、椭圆光度法、电致发光光谱法、或XRD来测量基板的表面特性。
15.如权利要求8的组合工具,其中所述III-V族膜是氮化镓。
CN2009801016790A 2008-01-31 2009-01-23 闭环mocvd沉积控制 Expired - Fee Related CN101911253B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US2525208P 2008-01-31 2008-01-31
US61/025,252 2008-01-31
PCT/US2009/031831 WO2009099776A1 (en) 2008-01-31 2009-01-23 Closed loop mocvd deposition control

Publications (2)

Publication Number Publication Date
CN101911253A CN101911253A (zh) 2010-12-08
CN101911253B true CN101911253B (zh) 2012-08-22

Family

ID=40952420

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801016790A Expired - Fee Related CN101911253B (zh) 2008-01-31 2009-01-23 闭环mocvd沉积控制

Country Status (5)

Country Link
US (1) US20110308453A1 (zh)
JP (1) JP2011514660A (zh)
KR (1) KR20100106608A (zh)
CN (1) CN101911253B (zh)
WO (1) WO2009099776A1 (zh)

Families Citing this family (431)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101802254B (zh) 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US8022372B2 (en) 2008-02-15 2011-09-20 Veeco Instruments Inc. Apparatus and method for batch non-contact material characterization
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102884642A (zh) * 2009-12-14 2013-01-16 丽佳达普株式会社 衬底处理方法
US8318522B2 (en) * 2009-12-15 2012-11-27 Applied Materials, Inc. Surface passivation techniques for chamber-split processing
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
CN102212877B (zh) * 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
KR101395243B1 (ko) * 2011-04-29 2014-05-15 세메스 주식회사 기판처리장치 및 방법
TWI525744B (zh) 2011-05-31 2016-03-11 維克儀器公司 加熱之晶圓載體輪廓勘測
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
CN102296285A (zh) * 2011-09-09 2011-12-28 汉能科技有限公司 一种线列式有机金属化合物气相淀积系统及方法
JP2013084918A (ja) * 2011-09-27 2013-05-09 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2777067A4 (en) * 2011-11-10 2016-03-30 Saint Gobain Cristaux Et Detecteurs SYSTEM FOR USE IN FORMING CRYSTALLINE SEMICONDUCTOR MATERIALS
FR2984923B1 (fr) * 2011-12-27 2014-11-07 Soitec Silicon On Insulator Systèmes de dépôt comprenant des chambres de réaction configurées pour réaliser des opérations de métrologie in situ et procédés connexes
CN103382552B (zh) * 2012-05-04 2015-08-19 无锡华润上华科技有限公司 等离子化学气相沉积机台异常监控方法及系统
US9840778B2 (en) * 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US20150128860A1 (en) * 2012-06-07 2015-05-14 Soitec Deposition systems having deposition chambers configured for in-situ metrology with radiation deflection and related methods
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140272108A1 (en) * 2013-03-15 2014-09-18 Plasmability, Llc Toroidal Plasma Processing Apparatus
CN105144355B (zh) * 2013-05-01 2018-02-06 应用材料公司 用于在晶片处理系统内进行低温测量的设备与方法
CN110600399B (zh) * 2013-08-12 2023-06-27 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9442048B2 (en) 2013-10-02 2016-09-13 The Boeing Company Gas sensing system and method
JP6334880B2 (ja) * 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
US9420639B2 (en) * 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
US10840102B2 (en) * 2013-11-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated system, integrated system operation method and film treatment method
CN105874095A (zh) * 2013-12-06 2016-08-17 应用材料公司 沉积装置、沉积设备及其操作方法
TWI647530B (zh) * 2013-12-22 2019-01-11 美商應用材料股份有限公司 用於沉積之監控系統及其操作方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101605717B1 (ko) * 2014-07-16 2016-03-23 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9994956B2 (en) * 2014-08-11 2018-06-12 University Of Kansas Apparatus for in situ deposition of multilayer structures via atomic layer deposition and ultra-high vacuum physical or chemical vapor deposition
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN111696895A (zh) 2014-11-25 2020-09-22 应用材料公司 具有基板载体和净化腔室环境控制的基板处理系统、设备和方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6741690B2 (ja) * 2015-05-26 2020-08-19 エリコン・サーフェス・ソリューションズ・アクチェンゲゼルシャフト,プフェフィコーンOerlikon Surface Solutions Ag, Pfaeffikon 窒化モリブデン系コーティングを用いることによる摩耗および/または摩擦低減
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6578158B2 (ja) * 2015-08-28 2019-09-18 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
WO2017192406A1 (en) * 2016-05-02 2017-11-09 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052471A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. A degassing chamber for arsenic related processes
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106637145A (zh) * 2016-12-30 2017-05-10 东莞市中镓半导体科技有限公司 一种用于hvpe设备工艺参数的智能修正调控系统
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
JP6903857B2 (ja) * 2017-06-02 2021-07-14 住友電工デバイス・イノベーション株式会社 半導体基板の製造方法
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10593871B2 (en) 2017-07-10 2020-03-17 University Of Kansas Atomic layer deposition of ultrathin tunnel barriers
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN112074940A (zh) 2018-03-20 2020-12-11 东京毅力科创株式会社 结合有集成半导体加工模块的自感知校正异构平台及其使用方法
KR102655137B1 (ko) 2018-03-20 2024-04-04 도쿄엘렉트론가부시키가이샤 계측 통합형 기판 프로세싱 툴 및 그 이용 방법
US11398379B2 (en) * 2018-03-20 2022-07-26 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019195100A1 (en) * 2018-04-02 2019-10-10 Applied Materials, Inc. Inline chamber metrology
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) * 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR20200038184A (ko) 2018-10-01 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) * 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102229688B1 (ko) * 2019-02-13 2021-03-18 프리시스 주식회사 밸브모듈 및 이를 포함하는 기판처리장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11538716B2 (en) * 2019-03-22 2022-12-27 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110783217A (zh) * 2019-09-27 2020-02-11 南京国盛电子有限公司 一种晶圆外延参数异常侦测方法及系统
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US11287323B2 (en) 2019-10-07 2022-03-29 Samsung Electronics Co., Ltd. Semiconductor substrate measuring apparatus, semiconductor substrate processing apparatus and semiconductor device manufacturing method using the same
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
DE102019129788A1 (de) * 2019-11-05 2021-05-06 Aixtron Se Verwendung eines CVD Reaktors zum Abscheiden zweidimensionaler Schichten
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11232946B2 (en) * 2020-02-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of optimizing film deposition process in semiconductor fabrication by using gas sensor
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20220150927A (ko) * 2020-03-03 2022-11-11 인피콘, 인크. 반도체 공정을 모니터링하기 위한 시스템 및 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
WO2021240210A1 (en) * 2020-05-26 2021-12-02 Applied Materials, Inc. Method for cleaning a vacuum chamber, method for vacuum processing of a substrate, and apparatuses for vacuum processing a substrate
US11355325B2 (en) * 2020-05-28 2022-06-07 Applied Materials, Inc. Methods and systems for monitoring input power for process control in semiconductor process systems
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11581204B2 (en) * 2020-10-20 2023-02-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11613808B2 (en) * 2020-10-22 2023-03-28 Applied Materials, Inc. Clean processes for boron carbon film deposition
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178029A1 (en) * 2020-12-03 2022-06-09 Tokyo Electron Limited Deposition apparatus and deposition method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023123567A1 (zh) * 2021-12-28 2023-07-06 江苏第三代半导体研究院有限公司 半导体加工系统及半导体加工方法
US20230375460A1 (en) * 2022-05-23 2023-11-23 Applied Materials, Inc. Epi self-heating sensor tube as in-situ growth rate sensor

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5677538A (en) * 1995-07-07 1997-10-14 Trustees Of Boston University Photodetectors using III-V nitrides
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
KR20030094491A (ko) * 2002-06-04 2003-12-12 삼성전자주식회사 연마 패드 및 이를 갖는 화학적 기계적 연마 장치
DE10240115B4 (de) * 2002-08-30 2004-10-28 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Handhaben von Substraten in einer Produktionslinie mit einer Cluster-Anlage und einer Messanlage
DE102004007984A1 (de) * 2004-02-18 2005-09-01 Aixtron Ag CVD-Reaktor mit Fotodioden-Array
JP4692143B2 (ja) * 2005-08-12 2011-06-01 住友電気工業株式会社 半導体装置の製造方法および製造装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer

Also Published As

Publication number Publication date
WO2009099776A9 (en) 2011-01-06
WO2009099776A1 (en) 2009-08-13
US20110308453A1 (en) 2011-12-22
KR20100106608A (ko) 2010-10-01
CN101911253A (zh) 2010-12-08
JP2011514660A (ja) 2011-05-06

Similar Documents

Publication Publication Date Title
CN101911253B (zh) 闭环mocvd沉积控制
US8679956B2 (en) Multiple precursor showerhead with by-pass ports
KR101296317B1 (ko) 화학기상증착 장치
US20160160387A1 (en) Linear Cluster Deposition System
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
JP2012525713A (ja) Led向けのクラスタツール
CN101933131A (zh) 用以制造复合氮化物半导体元件的处理系统
KR20090082509A (ko) 갈륨 질화물 증착에서의 반응 가스 감소
WO2011159690A2 (en) Multiple precursor showerhead with by-pass ports
TW201246297A (en) Metal-organic vapor phase epitaxy system and process
KR20120003493A (ko) 후속하는 고온 그룹 ⅲ 증착들을 위한 기판 전처리
CN104067374A (zh) 多个互补气体分配组件
US20110263098A1 (en) Hybrid deposition chamber for in-situ formation of group iv semiconductors & compounds with group iii-nitrides
US10439099B2 (en) UV light emitting devices and systems and methods for production
US20120234238A1 (en) Integrated metrology for wafer screening
US20110171758A1 (en) Reclamation of scrap materials for led manufacturing
US20160079471A1 (en) Uv light emitting devices and systems and methods for production
CN101816061A (zh) 在使用mocvd和hvpe来生长iii-v氮化物膜中的寄生微粒抑制
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20120052657A1 (en) Method of forming film and substrate processing apparatus
US20120083060A1 (en) Integration of cluster mocvd and hvpe reactors with other process chambers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120822

Termination date: 20140123