JP2011514660A - 閉ループmocvdにおける堆積制御 - Google Patents

閉ループmocvdにおける堆積制御 Download PDF

Info

Publication number
JP2011514660A
JP2011514660A JP2010545062A JP2010545062A JP2011514660A JP 2011514660 A JP2011514660 A JP 2011514660A JP 2010545062 A JP2010545062 A JP 2010545062A JP 2010545062 A JP2010545062 A JP 2010545062A JP 2011514660 A JP2011514660 A JP 2011514660A
Authority
JP
Japan
Prior art keywords
chamber
substrate
processing
iii
cluster tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010545062A
Other languages
English (en)
Inventor
ジエ スー
ローリ ディー ワシントン
デビッド ボウア
ジェイコブ グレイソン
サンディープ ニジュハワン
ロナルド スティーブンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011514660A publication Critical patent/JP2011514660A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

化学気相蒸着及び/又は水素化物気相エピタキシ(HVPE)堆積を利用したクラスタツールのための基板処理パラメータを監視及び制御するための方法及び装置が提供される。一実施形態において、有機金属化学気相蒸着(MOCVD)法を使用して処理チャンバ内の複数の基板上にIII族窒化物膜を堆積する。閉ループ制御システムは、III族窒化物膜成長速度のインシチュ監視を行い、必要に応じて膜成長パラメータを調節することによってターゲット成長速度を維持する。別の実施形態において、閉ループ制御システムは、1つ以上の膜堆積システムの複数の処理チャンバについて、膜成長パラメータのインシチュ監視を行なう。

Description

発明の背景
(発明の分野)
本発明の実施形態は、一般に、基板上での化学気相蒸着(CVD)のプロセス監視及び制御のための方法及び装置に係り、特に有機金属化学気相蒸着及び/又は水素化物気相エピタキシ処理システムで使用するための閉ループ処理制御システムに関する。
(関連技術の説明)
III−V族膜は、多種多様な半導体デバイス(短波長発光ダイオード(LED)、レーザーダイオード(LD)等)及び高出力、高周波、高温トランジスタ、集積回路を含む電子デバイスの開発及び製造において重要性を増しつつある。例えば、短波長(例えば、青/緑〜紫外線)LEDは、III族窒化物半導体材料である窒化ガリウム(GaN)を使用して製造される。GaNを使用して製造された短波長LEDでは、非窒化物半導体材料(II−VI族材料等)を使用して製造された短波長LEDよりはるかに高い効率及び長い動作寿命が得られる。
GaN等のIII−V族膜の堆積に使用されている1つの方法が、有機金属化学気相蒸着(MOCVD)である。この化学気相蒸着法は一般に、温度管理された環境を有するリアクタ内で行なうことによって、III族に属するガリウム(Ga)等の少なくとも1種の元素を含有する第1前駆体ガスの安定性を確保している。アンモニア(NH)等の第2前駆体ガスが、III族窒化物の生成に必要な窒素を提供する。これら2種類の前駆体ガスはリアクタ内の処理ゾーンに注入され、そこで混合され、処理ゾーン内の加熱された基板に向かって移動する。キャリアガスを使用して、前駆体ガスの基板方向への移動を促す場合もある。前駆体は加熱された基板の表面で反応してIII族窒化物層(GaN等)を基板表面上に形成する。
複数の基板を堆積リアクタ内の基板キャリア上に配置して、歩留まり及びスループットの上昇に望ましいバッチ処理にする場合もある。これらの要素は、電子デバイスの製造コスト、ひいてはデバイス製造業者の市場における競争力に直接影響することから重要である。
各基板上に堆積されるIII−V族膜の質は多くの膜成長パラメータに左右され、このパラメータには、幾つかを挙げるとリアクタ圧力、前駆体流量、基板温度、膜応力及び膜成長速度が含まれる。成長パラメータは、先行の基板処理回中及び/又はその後に測定される膜成長速度又は追加の成長パラメータから求めることができる。異なる膜成長パラメータ(例えば、膜応力、膜成長速度等)の測定には、様々な計測ツールを使用することができる。処理結果と膜成長パラメータとを相関させて膜の質及び成長速度を最適化し、続く処理回で再現するためには、基板処理中に膜成長パラメータを測定及び監視することが望ましい。次に、膜成長パラメータを監視し、例えば人間のオペレータが必要に応じて既定値又は設定値に調節することによって、所望の膜質及び成長速度を達成することができる。
基板処理中、1つ以上の膜成長パラメータが望ましい既定値から逸脱する場合がある。逸脱が急激すぎたり緩慢すぎて、人間のオペレータがこの逸脱を検知できず、堆積された膜の質が基板のバッチ全体について悪化する場合がある。また、複数の処理リアクタを有するクラスタツールでは、大量の膜成長パラメータデータを監視し、多くの成長パラメータを制御する必要があるため、オペレータによるエラー及び不良な膜質が発生する確率が高くなる恐れがある。
LED、LD、トランジスタ及び集積回路への需要が増大するにつれ、高品質のIII−V族膜を堆積する効率の重要性が増す。従って、基板処理中に膜成長パラメータを監視及び制御するための改善された装置及び方法が必要とされる。
本発明は概して、MOCVD及び/又は水素化物気相エピタキシ処理システムにおいてIII−V族構造の処理を監視及び制御するための改善された方法及び装置を提供する。
一実施形態では、III−V族構造の処理を監視及び制御するための基板処理システムが提供される。この基板処理システムは一般に、III−V族膜の基板上への堆積が行なわれる、基板キャリアを有するチャンバと、基板キャリア上に配置された基板の表面特性を測定するように構成された1つ以上の計測ツールと、計測ツールの測定値に従ってチャンバの処理パラメータを制御するためのシステムコントローラとを含む。
別の実施形態では、III−V族構造の処理を監視及び制御するためのクラスタツールが提供される。このクラスタツールは、搬送チャンバと、1つ以上の、その少なくとも1つが基板上にIII−V族膜を堆積するように構成された処理チャンバと、サービスチャンバと、基板の表面特性を測定するように構成された1つ以上の計測ツールと、計測ツールの測定値に従って1つ以上の処理チャンバの処理パラメータを制御するためのシステムコントローラとを含む。
更に別の実施形態において、III−V族膜の基板上への堆積が行なわれる少なくとも1つのチャンバをそれぞれ有する2つ以上のクラスタツールを制御するためのシステムが提供される。このシステムは一般に、第1クラスタツールの処理パラメータを制御するための第1システムコントローラと、第2クラスタツールの処理パラメータを制御するための第2システムコントローラを含み、これらのクラスタツールの少なくとも1つは、基板の表面特性を測定するように構成された1つ以上の計測ツールを有し、1つ以上の計測ツールによる測定値に従って2つ以上のクラスタツールの処理パラメータを制御するためのシステム間コントローラを更に含む。
本発明の上記構成が詳細に理解されるように、上記で簡単に要約した本発明のより具体的な説明を実施形態を参照して行う。実施形態の一部は添付図面に図示されている。しかしながら、添付図面は本発明の典型的な実施形態しか図示しておらず、本発明はその他の同等に効果的な実施形態も含み得ることから、本発明の範囲を制限すると解釈されないことに留意すべきである。
窒化ガリウム系構造の概略図である。 本発明の一実施形態による化学気相蒸着装置の概略図である。 本発明の別の実施形態による化学気相蒸着装置の概略図である。 本発明の一実施形態による計測ツールを含む図2Aに図示の化学気相蒸着チャンバの概略図である。 本発明の別の実施形態による計測ツールを含む図2Aに図示の化学気相蒸着チャンバの概略図である。 基板処理用の処理チャンバ及び計測チャンバを有する処理システムの一実施形態の概略平面図である。 本発明の一実施形態による多重システム処理システムの平面図である。 図4Aに図示の処理システムの別の実施形態の平面図である。 一実施形態による図4Aに図示の処理システムを使用した複合窒化物半導体構造を作製するための処理シーケンスを表す図である。
理解のために、可能な限り、図面で共通する同一要素は同一参照番号を使用して表した。一実施形態の要素及び構成を、特に記載することなくその他の実施形態で便宜上利用する場合がある。
詳細な説明
本発明の実施形態は、一般に、MOCVD及び/又は水素化物気相エピタキシ(HVPE)堆積によるIII−V族構造の作製に利用し得る方法及び装置を提供する。本発明の実践に合わせて構成し得る例示的なシステム及びチャンバは、2008年1月31日に「CVD Apparatus」の名称で出願された米国特許出願第12/023520号、及び、2008年1月31日に「Processing System for Fabricating Compound Nitride Semiconductor Devices」の名称で出願された米国特許出願第12/023572号に記載されており、これらの文献は共に上記の参照により組み込まれる。本発明の実施に合わせて構成し得る追加の例示的なシステム及びチャンバは、2006年4月14日に出願された米国特許出願第11/404516号及び2006年5月5日に出願された米国特許出願第11/429022号に記載されており、これらの文献は共に引用により本願に組み込まれる。
図1は窒化ガリウム系構造の概略図であり、このような構造の作製に使用し得る膜層のタイプ及び処理工程を表す。図1に図示の本実施例において、窒化ガリウム系構造はLED(発光ダイオード)構造10である。この構造の作製は、清浄化されたサファイア基板11から始まり、この上に厚さ約300オングストロームを有するGaN(窒化ガリウム)バッファ層13が堆積される。GaNバッファ層13は、GaN材料を処理温度約550℃で約5分間に亘って堆積するMOCVD法を使用して堆積することができる。
次に、n−GaN層14を、GaNバッファ層13上に堆積する。n−GaN層14は典型的には、高温、例えば1050℃で堆積され、厚さ約4ミクロン(μm)で比較的厚く、約140分の総堆積時間を要する。次の層はInGaN(インジウム−ガリウム−窒化物)層15であり、多重量子井戸層として機能し、約750オングストロームの厚さに750℃で約40分間に亘って堆積される。InGaN層15に続いて、p−AlGaN(アルミニウム−ガリウム−窒化物)層16が、InGaN層15上に約200オングストロームの厚さに堆積され、堆積は約5分、約950℃で完了する。最終層はp−GaN層17であり、コンタクト層として機能し、1050℃で約25分間に亘って約0.4ミクロンの最終厚さに堆積される。
図2Aは、本発明の一実施形態による化学気相蒸着装置の概略図である。図1に図示のLED構造は、図2に図示の装置を使用して作製することができる。図2Aに図示の装置100は、チャンバ102A、ガス送出システム125、真空システム112、遠隔プラズマ源126、システムコントローラ161及びオペレータインターフェース167を備える。チャンバ102Aは、処理容積108を取り囲むチャンバ本体103を含む。シャワーヘッドアセンブリ104は処理容積108の一方に配置され、基板キャリア114は処理容積108のもう一方に配置される。下方ドーム119が下方容積110の一方に配置され、基板キャリア114が下方容積110のもう一方に配置される。基板キャリア114は処理位置にある状態で描かれているが、例えば基板Sをロード又はアンロードするためのより低い位置に移動させることもできる。排気リング120を基板キャリア114の周囲に配置して下方容積110内での堆積の防止に役立て、またチャンバ102Aから排気ポート109へと排気ガスを指向させるのに役立ててもよい。下方ドーム119を、基板Sの輻射加熱のために、光を通す高純度石英等の透明材料から形成してもよい。輻射加熱は、下方ドーム119の下に配置された複数の内方ランプ121A、中央ランプ121B及び外方ランプ121Cによって行なうことができ、またリフレクタ166を使用して、内方、中央及び外方ランプ121A、121B、121Cによってもたらされる放射エネルギーへのチャンバ102Aの曝露の制御に役立ててもよい。その他のランプ構成を使用して、基板Sの温度制御をより精密に行なうこともできる。
基板キャリア114は1つ以上の凹部116を含んでいてよく、処理中、その中に1枚以上の基板Sが配置される。基板キャリア114は6枚以上の基板Sを担持し得る。一実施形態において、基板キャリア114は8枚の基板Sを担持する。基板キャリア114が担持する基板Sの数はそれより多くても少なくてもよいことを理解されたい。典型的な基板Sには、サファイア、炭化ケイ素(SiC)、シリコン、窒化ガリウム(GaN)が含まれる。その他のタイプの基板、例えばガラス基板も処理し得ることを理解されたい。基板のサイズは直径50mm〜100mm又はそれより大きくなり得る。基板キャリア114のサイズは、200mm〜750mmとなり得る。基板キャリア114は多種多様な材料から形成することができ、SiC又はSiC被覆グラファイトが含まれる。その他のサイズの基板をチャンバ102A内で本願に記載の処理に従って処理し得ることを理解されたい。本願に記載されるようなシャワーヘッドアセンブリ104によって、従来のMOCVDチャンバの場合より多数の基板及び/又は大きい基板により均一な堆積を施すことができるようになり、この結果スループットが上昇し、基板1枚あたりの処理コストが低下する。
基板キャリア114は処理中、軸を中心に回転してもよい。一実施形態において、基板キャリア114は約2rpm〜約100rpmで回転する。別の実施形態において、基板キャリア114は約30rpmで回転する。基板キャリア114の回転は、基板Sの均一な加熱及び各基板Sへの処理ガスの均一な曝露を促進する。基板キャリア114を上下に移動させて基板の処理及びチャンバ102A内外への搬送を行なうこともでき、基板キャリア114のこの上下運動及び回転は、システムコントローラ161によって制御されるモータ又はアクチュエータ(図示せず)によって可能となる。
複数の内方、中央及び外方ランプ121A、121B、121Cは同心円又は同心円帯状に配置することができ(図示せず)、各ランプ又はランプゾーンは別々に給電することができる。一実施形態においては、高温計等の1つ以上の温度センサ(図3Aを参照のこと)をシャワーヘッドアセンブリ104内に配置して基板及び基板キャリア114の温度を測定し、温度データはシステムコントローラ161に送られ、システムコントローラ161が別々のランプゾーンへの電力を調節することによって基板キャリア114全体の既定の温度プロファイルを維持する。別の実施形態においては、別々のランプゾーンへの電力を調節することによって、前駆体流れ又は前駆体濃度の不均一性を相殺する。例えば、外方ランプゾーンに近い基板キャリア114の領域における前駆体濃度が低い場合、外方ランプゾーンへの電力を調節することによって、この領域における前駆体の不足を補う。
内方、中央及び外方ランプ121A、121B、121Cは基板Sを約400℃〜約1200℃に加熱し得る。本発明はランプ列の使用に限定されないことを理解されたい。チャンバ102A及びその中の基板Sに適当な温度を十分にゆきわたらせるために、いずれの適切な加熱源を利用してもよい。例えば、別の実施形態において、加熱源は、基板キャリア114と熱的に接触する抵抗加熱要素(図示せず)を含む。
ガス送出システム125は複数のガス供給源を含んでいてよく、実行中の処理によっては一部の供給源がガスではなく液体供給源であり、この場合、ガス送出システムは液体注入システム又は液体を気化させるためのその他の手段(例えば、バブラ)を含み得る。次に、チャンバ102Aへの送出に先立ってこの蒸気をキャリアガスと混合することができる。様々なガス(前駆体ガス、キャリアガス、パージガス、洗浄/エッチングガスその他等)を、ガス送出システム125から別々の供給ライン131、132、133、更にはシャワーヘッドアセンブリ104へと供給することができる。供給ライン131、132及び133は、各ラインのガス流を監視、調節又は遮断するための遮断バルブ、質量流量コントローラ又はその他タイプの流量コントローラを含んでいてもよく、これらのバルブ、流量コントローラ及びその他のガス送出システム125部品は、次にシステムコントローラ161によって制御される。
導管129は遠隔プラズマ源126から洗浄/エッチングガスを受け取る。遠隔プラズマ源126はガス送出システム125から供給ライン124を介してガスを受け取り、バルブ130は、シャワーヘッドアセンブリ104と遠隔プラズマ源126との間に配置される。バルブ130を開放すると、洗浄及び/又はエッチングガス又はプラズマがシャワーヘッドアセンブリ104内へと、プラズマ用の導管として機能するように構成し得る供給ライン133を介して流れ込む。別の実施形態において、装置100は遠隔プラズマ源126を含まず、洗浄/エッチングガスはガス送出システム125から代替の供給ライン構成を使用してシャワーヘッドアセンブリ104へと非プラズマ洗浄及び/又はエッチングを目的として送出される。
遠隔プラズマ源126は、チャンバ102Aの洗浄及び/又は基板エッチング用に構成された高周波又はマイクロ波プラズマ源であってもよい。洗浄及び/又はエッチングガスを遠隔プラズマ源126へと供給ライン124を介して供給してプラズマ種を発生させ、このプラズマ種を導管129及び供給ライン133を介してシャワーヘッドアセンブリ104に送ってチャンバ102A内へと分散させる。洗浄用途のガスにはフッ素、塩素又はその他の反応性元素が含まれる。
別の実施形態において、ガス送出システム125及び遠隔プラズマ源126は、前駆体ガスが遠隔プラズマ源126に供給されてプラズマ種が発生し、このプラズマ種が供給ライン131、132を介して送られてシャワーヘッドアセンブリ104を通過し、CVD層(例えば、III−V族膜等)が基板S上に堆積されるように適切に構成される。洗浄及び/又は堆積処理中、遠隔プラズマ源126及びガス送出システム125は、システムコントローラ161によって既定の操作パラメータに従って制御される。
パージガス(例えば、窒素)はチャンバ102A内へとシャワーヘッドアセンブリ104及び/又は基板キャリア114下方のチャンバ本体103の底部近くに配置された流入ポート若しくはチューブ(図示せず)から送出することができる。パージガスはチャンバ102Aの下方容積110に進入し、基板キャリア114及び排気リング120を越えて上方に流れ、環状排気チャネル105の周囲に配置された複数の排気ポート109に流れ込む。排気導管106は環状排気チャネル105を、真空ポンプ(図示せず)を含む真空システム112へと接続する。チャンバ102A圧力は、環状排気チャネル105から排気ガスを抜く速度を制御するバルブシステム107を使用して制御することができる。ガス監視ツール(例えば、残留ガス分析装置、IR)160を排気導管106に連結し、流体連通させてもよい。ガス監視ツール160を、チャンバ102Aにおける漏出の検知又はチャンバ洗浄処理の終点の検知又はその他のガス分析若しくは監視目的に使用してもよく、ガス監視ツール160からのデータはシステムコントローラ161によって監視することができる。
システムコントローラ161は、中央演算処理装置(CPU)162、メモリ163及びCPU162用のサポート回路164を備え、装置100及びその内部のチャンバ102Aの操作パラメータ及び活動、ひいては堆積処理の制御を可能にする。制御信号はシステムコントローラ161から延びる信号線165によってシステムコントローラ161から送られ、装置100及びチャンバ102Aの様々な部品(例えば、内方、中央、外方ランプ121A〜121C、真空システム112)の入力が制御される。オペレータインターフェース167は、キーボード、モニタ及び装置100の操作及び処理パラメータを手動入力するための手段となるその他の部品を備え得る。
システムコントローラ161は、様々なチャンバ及びサブプロセッサを制御する際に工業環境で使用可能ないずれの形式の汎用コンピュータプロセッサの1つであってもよい。メモリ163又はCPU162のコンピュータ可読性媒体は、ランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピーディスク、ハードディスク、その他いずれの形式のローカル又はリモートデジタルストレージ等の容易に入手可能なメモリの1種以上であってもよい。サポート回路164は慣用のやり方でプロセッサをサポートするためにCPU162に連結される。これらの回路はキャッシュ、電力供給源、クロック回路、入力/出力回路、サブシステム等を含む。本発明の方法は、通常、ソフトウェアルーチンとしてメモリ163に保存されるが、ASICの場合もある。或いは、このようなソフトウェアルーチンを、CPU162によって制御されるハードウェアとは離れて位置する第2CPU(図示せず)で保存する及び/又は実行してもよい。
図2Aに図示のチャンバ102A用のシャワーヘッドアセンブリ104は、有機金属化学気相蒸着(MOCVD)用途に合わせて構成することができる。基板処理中、処理ガス152はシャワーヘッドアセンブリ104から基板Sの表面に向かって流れる。処理ガス152は1種以上のMOCVD前駆体ガス及びこれらの前駆体ガスと混合し得るキャリアガス、ドーパントガスを含み得る。本発明の実践に合わせて構成し得る例示的なシャワーヘッドは、全て2007年10月16日に出願された米国特許出願第11/873132号、第11/873141号及び第11/873170号に記載されており、これら全ての文献は引用により全て本願に組み込まれる。
別の実施形態において、シャワーヘッドアセンブリ104は、水素化物気相エピタキシー(HVPE)として知られる別の堆積技法での使用にあわせて構成される。HVPE法には、一部のIII−V族膜、特にはGaNの成長において、高い成長率、相対的な単純性及び費用対効果等の利点がある。この技法において、GaNの成長は、塩化ガリウム(GaCl)とアンモニア(NH)との高温気相反応により進行する。このアンモニアは標準的なガス供給源から供給することができ、GaClは、加熱した液体ガリウム供給源上にHCl等の水素化物含有ガスを通すことによって生成される。アンモニア及びGaClの2種類のガスを加熱した基板に誘導すると2種類のガスが反応して基板表面上にエピタキシャルGaN膜が形成される。一般に、HVPE法はその他のIII族窒化物膜の成長に使用され、水素化物含有ガス(HCl、HBr、HI等)をIII族液体供給源上に流してIII族ハロゲン化物ガスを生成し、次にこのIII族ハロゲン化物ガスをアンモニア等の窒素含有ガスと混合してIII族窒化物膜を形成する、
ガス送出システム125は、チャンバ102A外部に加熱ソースボート(図示せず)を備えていてもよい。この加熱ソースボートは液相へと加熱される金属源(例えば、Ga)を収容することができ、水素化物含有ガス(例えば、HCl)はこの金属源上を流れてIII族ハロゲン化物ガス、例えばGaClを生成する。III族ハロゲン化物前駆体ガス及び窒素含有前駆体ガス(NH等)を次にシャワーヘッドアセンブリ104へと供給ライン131、132を介して送出して処理容積108内に注入し、GaN等のIII族窒化物膜を基板S上に堆積する。別の実施形態においては、1本以上の供給ライン131、132を加熱して前駆体を外部ボートからチャンバ102Aへと送出する。システムコントローラ161を使用して、ガス送出システム125の多種多様な部品の加熱を監視及び制御することができる。
図2Bは、本発明の別の実施形態による化学気相蒸着装置の概略図である。装置100は、HVPE堆積用のチャンバ102Bを含むように適切に構成される。チャンバ102Bは、処理容積108を取り囲むチャンバ本体103を含む。シャワーヘッドアセンブリ104は、処理容積108の一方に配置され、基板キャリア114は、処理容積108のもう一方に配置される。複数のランプ130A、130Bを、基板キャリア114の下方に配置することができる。多くの用途において、典型的なランプ配置には基板Sの上方(図示せず)及び下方(図示の通り)のランプ列が含まれる。1つ以上のランプ130A、130Bは給電されると、基板S及びシャワーヘッドアセンブリ104内に配置されたソースボート280を加熱する。
ソースボート280はチャンバ本体103を取り囲んでいてもよく、金属源221(例えば、ガリウム、アルミニウム、インジウム等)がソースボート280のウェル220を満たす。ソースボート280を加熱すると金属源221が熱せられて液相となり、水素化物含有ガス(例えば、HCl)がチャネル210内を金属源221上で流れるとIII族ハロゲン化物ガス(GaCl等)が生成され、このガスはシャワーヘッドアセンブリ104内に位置するガス管(図示せず)を通って処理容積108内に導入される。窒素含有ガス(例えば、アンモニア等)は、別のガス管セット(図示せず)を通して処理容積108内に導入することができる。基板処理中、III族ハロゲン化物及び窒素含有前駆体ガスを含み得る処理ガス152はシャワーヘッドアセンブリ104から基板Sに向かって流れ、これらの前駆体ガスが基板Sの表面付近又は表面で反応することによって金属窒化物(例えば、GaN等)が基板表面上に堆積される。本発明の実践に合わせて構成し得るHVPE堆積用の例示的なチャンバ及びシャワーヘッドは、2007年6月24日に出願された米国特許出願第11/767520号に記載されており、この文献は引用により全て組み込まれる。
基板処理結果を改善するために、処理中又は処理後に処理を監視して、処理パラメータ設定値からの逸脱を1枚以上の基板を完全に処理する前に修正するのが望ましいことが多い。図3Aは、本発明の一実施形態による計測ツール300を含む図2Aに図示の化学気相蒸着チャンバの概略図である。1つ以上のセンサ301及び/又は計測ツール300をシャワーヘッドアセンブリ104に連結することによって、基板処理パラメータ(例えば、温度、圧力等)及び基板上に堆積される膜の各種特性(厚さ、反射率、リアルタイム膜成長速度、組成、応力、粗さ、その他の膜特性等)を測定することができる。追加のセンサ302をチャンバ本体103の側壁に沿って配置し得るが、センサ301、302はチャンバ102Aのどこに位置決めしてもよい。計測ツール300及び/又はセンサ301からのデータを信号線165に沿ってシステムコントローラ161に送り、システムコントローラ161によってデータの監視を行なうことができる。一実施形態において、システムコントローラ161は、計測ツール/センサのデータに応答して装置100及びチャンバ102Aに制御信号を自動的に送る(図2Aを参照のこと)ように構成されており、閉ループ制御システムが構築される。
センサ301、302及び/又は計測ツール300はそれぞれ導管303に連結され、導管303は、シャワーヘッドアセンブリ104又はチャンバ本体103と真空シールを形成するチューブ又は延長ハウジング又はチャネルを含み、チャンバの真空を維持しながら、各センサ301、302及び/又は計測ツール300によるチャンバ102Aの内部容積(例えば、処理容積108及び/又は下方容積110)へのアクセスを可能にしている。各導管303の一端は、シャワーヘッドアセンブリ104及び/又はチャンバ本体103内に配置されたポート305付近に位置決めされる。ポート305はチャンバ102Aの内部容積と流体連通している。別の実施形態において、1つ以上のポート305は、光は通すが真空シールを形成することでチャンバ102Aの内部との流体連通を防止するウィンドウを含む。
各導管303は、センサ/トランスデューサプローブ又はその他のデバイスを格納し及び/又は指向された放射線ビーム(レーザービーム等)の経路となる。各ポート305は、パージガス(不活性ガスであってもよい)を流すことによってポート305及び導管303内のデバイス上での凝縮を防止して正確なインシチュ(in-situ)測定を可能にするように構成される。パージガスは、導管303内又はポート305付近に配置されるセンサプローブ又はその他のデバイスの周囲を環状に流れ得る。
一実施形態において、センサ301は、基板Sの温度及び/又はその他の温度(シャワーヘッド面306の温度等)を測定するための温度センサ(例えば、高温計、熱電対等)を含む。その他の実施形態において、センサ302はチャンバ本体103の側壁の温度を測定するための温度センサを含む。シャワーヘッド面306及びチャンバ本体103は、1つ以上の熱交換器(図示せず)と流体連通している。
センサ301、302によって温度データが得られ、このデータはシステムコントローラ161によって監視され、システムコントローラ161は熱交換器を制御することによってシャワーヘッド面306及びチャンバ本体103の温度を調節することができる。別の実施形態において、1つ以上のセンサ301、302は、チャンバ102A内部の圧力を測定する圧力センサを含む。システムコントローラ161を使用し、基板処理及びチャンバ操作の様々な段階において、チャンバの圧力を監視及び調節することができる。
本発明の一態様において、センサ301は、それぞれがランプゾーンの温度を監視できるように適切に位置決めされた高温計であり、各ランプゾーンは内方、中央及び外方ランプ121A、121B及び121Cを備える。計測ツール300は膜厚の測定に使用する反射率計を含み、この反射率計は、放射ビーム又は粒子(例えば、レーザービーム、イオンビーム)であってもよいビーム308が基板Sの表面で反射するようにシャワーヘッドアセンブリ104上に位置決めすることができる。図3Aに図示されるように、ビーム308は、基板表面にほぼ垂直に指向させることができる。
図3Bは、本発明の別の実施形態による計測ツール300を含む図2Aに図示の化学気相蒸着チャンバの概略図である。一実施形態において、計測ツール300は、エミッタ304A及びレシーバ304Bを備える。エミッタ304Aがビーム308を放出すると、ビームは基板Sにある角度で衝突し、一部は基板表面で反射してレシーバ304Bに戻る。次に、受け取った信号を入射又は放出信号と比較することによって基板の特性を測定する。次に測定結果をシステムコントローラ161に送ると、システムコントローラ161が処理シーケンスの1つ以上の処理パラメータを調節することによって基板処理結果を向上させることができる。一実施形態において、計測ツール300及び導管303は、ビーム308が基板Sに衝突する角度を変更し得るように構成される。
別の実施形態においては、1つ以上の計測ツール300がチャンバ本体103に連結される。一実施形態においては、例えば基板のたわみ及び関連する膜応力を測定するために、ビーム308が基板S表面に対してほぼ接線方向に指向されるように計測ツール300を方向づけする。別の実施形態において、計測ツール300はエミッタ304A及びレシーバ304Bを備え、これらはチャンバ本体103の対向する壁又は直径に沿って位置決めされる。更に別の実施形態においては、1つ以上の計測ツール300を基板Sの下方又は下方ドーム119に配置する。図3A及び3Bに図示され且つ本願に記載の実施形態は、チャンバ102A及び102Bに関して本願に記載のその他の実施形態と組み合わせて使用してもよい。
図4Aは、基板処理用の処理チャンバ及び計測チャンバを有する処理システムの一実施形態の概略平面図である。チャンバ102A、102B及び関連する装置100は、基板を処理し且つ基板に施された処理の結果を分析するように構成されたクラスタツール400を備えた処理システムで使用することができる。クラスタツール400はモジュラーシステムであり、電子デバイスの形成に用いられる様々な処理工程を行なう複数のチャンバを備える。本発明の一態様において、クラスタツール400はシステムコントローラ161を含み、システムコントローラ161は、多種多様な基板処理方法及びシーケンスを実行し且つ処理結果を分析するように構成されている。
一実施形態において、クラスタツール400は、基板処理モジュール401、402、403及び404を含み、これらのモジュールは搬送チャンバ430上の位置410A、410B、410C及び410Dにそれぞれ取り付けられる。位置410E及び410Fには前処理チャンバ及び後処理チャンバがあってもよく、例えば、脱ガス、方向付け、冷却、予備処理/予備洗浄、後アニールその他用に構成されたサービスチャンバ411A及び411Bである。実施形態によっては、システムのコスト又は複雑度を軽減するために、処理チャンバ又は前処理チャンバ若しくは後処理チャンバは位置410A〜410Fの一部しか占めない。本発明の一態様において、搬送チャンバ430は6面の六角形であり、処理チャンバを取り付けるための6つの位置410A〜410Fを有する。別の態様において、搬送チャンバ430はその他の形状を有し、5、7、8又はそれより多い面を有し、それに対応する数の処理チャンバ取り付け位置を有する。
基板処理モジュール401〜404のそれぞれは基板処理チャンバ(チャンバ102A、102B等)を含み、また例えば基板の加熱及びチャンバの冷却といった様々なチャンバ機能を支援する1つ以上の支援モジュールも含み得る。本発明の一態様において、基板処理モジュール401〜404の1つ以上は別のタイプの基板処理チャンバを含み、例えば基板をアニールするための急速熱処理(rapid thermal processing:RTP)チャンバ、エピタキシャル(EPI)堆積チャンバ、金属、半導体又は誘電体層を堆積するように構成された化学気相蒸着(CVD)チャンバ、エッチングチャンバ、スパッタリング(PVD)チャンバ、その他のタイプの基板処理チャンバである。
搬送チャンバ430は、ロボット420を格納する内部容積431を有し、このロボットは、基板処理モジュール401〜404の処理チャンバとサービスチャンバ411A、411Bとの間で基板Sの搬送を行なうように構成されている。ロボット420は一般にブレードアセンブリ421A、アームアセンブリ421B及び駆動アセンブリ421Cを含む。一実施形態において、ブレードアセンブリ421Aは、1枚以上の基板Sを担持する基板キャリア114を支持し、基板キャリア114は、基板処理モジュール401〜404の処理チャンバとサービスチャンバ411A、411Bとの間で搬送を行なう。
搬送チャンバ430は蓋414(部分的に図示)を含み、内部容積431は真空状態に維持される。別の実施形態において、搬送チャンバ430の内部容積431は、不活性ガスを内部容積431に絶え間なく送出することによって、大気圧又は大気圧前後に維持される。一実施形態において、内部容積431には窒素ガスが充填され、約80Torr〜約200Torrの圧力に維持される。
図4Aを参照するが、一実施形態において、サービスチャンバ411Bは脱ガスチャンバであり、サービスチャンバ411Aは、バッチロードロック(LL)チャンバである。バッチロードロック(LL)チャンバは、基板の冷却チャンバとしても機能し得る。別の実施形態において、サービスチャンバ411A、411Bの一方は冷却専用チャンバである。任意のフロントエンド環境(ファクトリインターフェースとも称される。図示せず)を、1つ以上のサービスチャンバ411A、411Bと選択的に連通させて位置決めしてもよい。
一実施形態において、クラスタツール400は、システムコントローラ161、複数の基板処理モジュール401〜404及び1つ以上の計測チャンバ405を含む。計測チャンバ405は1つ以上の計測ツール300を含み、これらの計測ツールは基板の様々な特性を測定するように構成されている。計測チャンバ405が、ロボット420が基板キャリア114を計測チャンバ405内外に搬送できるように基板キャリア支持表面406及び昇降アセンブリ(図示せず)も含む場合がある。
一実施形態において、計測チャンバ405は、別のチャンバ(搬送チャンバ430、サービスチャンバ411A、411B及び/又は基板処理モジュール401〜404の処理チャンバ等)内のある領域又は区域を含む。別の実施形態において、計測チャンバ405は、様々な基板特性を測定することを主な目的として設計された、基板処理用ではない専用チャンバを含む。計測チャンバ405は、クラスタツールロボット装置(例えば、ロボット420等)の1つ以上によるアクセスが可能な、クラスタツール400のいずれの便利な位置に配置してもよい。
図4Aに図示されるように、計測チャンバ405は、1つ以上のサービスチャンバ411A、411B及び/又は搬送チャンバ430内に位置決めすることができる。加えて、1つ以上の計測チャンバ405は搬送チャンバ430内のいずれの適切な位置に配置してもよい。一実施形態において、計測チャンバ405は、冷却チャンバ内の位置410E又は410Fに配置される。本発明の別の態様において、専用計測チャンバ405は、位置410A〜410Fのいずれか1つに配置される。
図4Bは、本発明の一実施形態による多重システム処理システムの平面図である。多重システム処理システム475は第1クラスタツール471A、第2クラスタツール471B、システム間コントローラ470及びオペレータインターフェース472を備える。第1及び第2クラスタツール471A、471Bはそれぞれ本願に記載されるようなクラスタツール400を含む。別の実施形態において、多重システム処理システム475は、3つ以上のクラスタツール400を備える。オペレータインターフェース472は、キーボード、モニタ及び多重システム処理システム475に関する操作及び処理パラメータを手動入力するための手段となるその他の部品を備え得る。
多重システム処理システム475の各クラスタツール400は、基板処理モジュール401〜404、サービスチャンバ411A、411B及び計測チャンバ405について異なる構成を有し得る。例えば、第1クラスタツール471Aは、HVPE堆積のためだけに構成された1つ以上の基板処理モジュール401〜404及び位置410Dに配置された専用計測チャンバ405を含む。第2クラスタツール471Bは、HVPE及びMOCVD堆積用に構成された2つ以上の基板処理モジュール401〜404及び1つ以上の基板処理チャンバ(チャンバ102A及び/又は102B等)内に位置決めされた計測ツール300を含み得る。システムコントローラ161はシステム間コントローラ470にリンクされているため、データが各システムコントローラ161とシステム間コントローラ470との間でフィードフォーワード及び/又はフィードバックされる。
図4Cは、図4Aに図示の処理システムの別の実施形態の平面図である。クラスタツール400は2つのMOCVDモジュール460及び1つのHVPEモジュール461を備え、それぞれが搬送チャンバ430に取り付けられる。MOCVDモジュール460は、基板処理モジュール401、支援電気モジュールを含み得る補助モジュール451及びMOCVDによる堆積を支援するように構成された化学薬品送出モジュール452を備える。基板処理モジュール401はチャンバ102Aを含む。HVPEモジュール461は基板処理モジュール403、補助モジュール451及びHVPE堆積を支援するように構成された化学薬品送出モジュール453を備える。基板処理モジュール403は、HVPE処理用に構成されたチャンバ102A又はチャンバ102Bのいずれかを含み得る。
図4Cのクラスタツール400は、バッチロードロックチャンバを含むサービスチャンバ411A及び脱ガスチャンバであるサービスチャンバ411Bも含む。基板キャリア114を備えたローディングステーション450は脱ガスチャンバに連結される。クラスタツール400は様々なモジュール構成を有し得る。一実施形態において、HVPEモジュール461は位置410Dに配置され、MOCVDモジュール460は位置410Aに配置される。或いは、クラスタツール400は、例えば位置410Aに配置された単一のMOCVDモジュールを備える。
本願に記載したように、計測チャンバ405を1つ以上のクラスタツール400内の様々な位置に配置して様々な基板特性を測定することができる。インシチュ測定の場合、1つ以上の計測ツール300は、1つ以上の基板処理チャンバ(例えば、チャンバ102A、102B等)内に配置することができる。測定可能な基板特性には、以下に限定するものではないが、基板表面に堆積された1つ以上の層における応力又はひずみ、1つ以上の堆積層の膜組成、基板表面上の粒子数及び基板上の1つ以上の層の厚さが含まれる。次に、システムコントローラ161及び/又はシステム間コントローラ470は、計測ツール300から収集したデータを使用して、1つ以上の処理工程における1つ以上の処理パラメータを自動調節し、1つ以上のクラスタツール400について、続いて処理される基板の結果を望ましいものにする。
一実施形態において、1つ以上の計測ツール300及び/又は計測チャンバ405は、慣用の光学的測定技法を使用して基板表面上に堆積された膜の厚さ及び/又は組成を測定するように構成されており、慣用の光学的測定技法には、偏光解析法、反射光測定、X線光電子分光法(XPS)が含まれる。別の実施形態において、1つ以上の計測ツール300及び/又は計測チャンバ405は、基板表面に堆積された膜のその他の特性を測定するように構成されており、この特性には、以下に限定されるものではないが、膜応力又はひずみ、界面又は表面粗さ、膜材料中での元素の化学及び電子状態並びに膜欠陥及び/又は汚染が含まれ得る。
一実施形態において、1つ以上の計測ツール300及び/又は計測チャンバ405は1種以上の測定技法を採用するように構成され、これらの技法には、以下に限定されるものではないが、例えばガス濃度又は基板温度を測定するためのX線回折(XRD)、蛍光X線分析(XRF)、X線反射率法(XRR)、オージェ電子分光法(AES)、透過電子顕微鏡法(TEM)、原子間力顕微鏡法(AFM)、UVラマン分光法、質量分析法(例えば、残留ガス分析装置)、エネルギー分散分光法(EDS/TEM)、フォトルミネッセンス(PL)分光法、エレクトロルミネッセンス(EL)分光法(フラッシュLED分光法とも称される)及び音波検出技法が含まれる。一実施形態において、フォトルミネッセンス計測ツール300は冷却チャンバであるサービスチャンバ411A、411B内に位置決めされるため、基板の冷却中にフォトルミネッセンスの測定が行なわれる。
別の実施形態において、1つ以上の計測ツール300及び/又は計測チャンバ405は、バンド端温度測定法として知られる技法を使用して基板温度を測定するように構成されている。光が半導体結晶に入射すると、この半導体結晶のバンドギャップエネルギーより光子エネルギーが大きい場合、光子吸収に急激な上昇が起こる。バンドギャップエネルギーに対応する光子波長はバンド端波長として知られ、この波長は温度依存性である。半導体結晶バンドギャップエネルギーは格子定数に反比例しているため、バンドギャップエネルギーは、半導体結晶が温度の上昇に伴って膨張してバンド端波長が上昇するにつれて低下する。0℃〜1000℃の範囲の温度について、バンドギャップエネルギーが典型的には滑らかな、ほぼ線形の温度の関数であることが判明しているため、この半導体特性は、無接触の温度測定技法の基礎を成す。上記の様々なタイプの計測ツール300をクラスタツール400に組み込み使用することによって、図1に図示の窒化ガリウム系LED構造等の複合半導体構造の作製プロセスを改善することができる。
図5は、一実施形態による図4Aに図示の処理システムを使用した複合窒化物半導体構造を作製するための処理シーケンスを表す。処理シーケンス500は工程501から始まり、1枚以上の基板Sがロボット420によって第1基板処理モジュール401内に搬送される。次に、基板は工程502で基板処理モジュール401の基板処理チャンバにおいて洗浄される。次に、工程509で、所望の膜成長パラメータ(温度、圧力その他等)を、初期エピタキシャル堆積層のための処理チャンバについて確立する。前駆体流れを工程513で供給してIII族窒化物構造を堆積させる。前駆体には窒素供給源及びガリウム(Ga)等の第1のIII族(III族)元素のための供給源が含まれる。例えば、アンモニア(NH)を窒素供給源として使用し、トリメチルガリウム(TMG)をGa供給源として使用する。III族元素は、複数の異なるIII元素(アルミニウムAl、Ga等)を含む場合もあり、適切なAl供給源はトリメチルアルミニウム(TMA)である。別の実施例において、複数の異なるIII族元素にはインジウム(In)及びGaが含まれ、適切なIn前駆体はトリメチルインジウム(TMI)である。窒素及び/又は水素等のキャリアガス流れも含まれ得る。
III族窒化物構造の工程517での堆積後、前駆体流れを工程521で終了する。形成中の特定の構造に応じて、追加の処理工程(更なる堆積及び/又はエッチング工程等)を、工程525で複合窒化物半導体構造上に行なってもよい。
次に基板を第1基板処理モジュール401から第2基板処理モジュール402へと工程529で搬送する。別の実施形態においては、第1及び第2処理モジュールが異なる処理モジュールである限り、いずれの基板処理モジュールシーケンスも使用することができる。搬送は高純度のガス環境中、高温で行なわれ、この高純度ガス環境に使用し得る幾つかのガスは窒素、水素、アンモニアである。工程533において、III族窒化物移行薄層がIII族窒化物構造上に堆積される。基板処理モジュール401においてIII族窒化物構造に使用したものと同じ前駆体を移行層に使用してもいいが、異なる前駆体を使用することもできる。
次に、工程537において、所望の膜成長パラメータ(温度、圧力その他等)をIII族窒化物層の堆積について確立する。前駆体ガス流を工程545のIII族窒化物層堆積のために工程541で供給し、III族窒化物及びIII族窒化物層は同じIII族元素を共有し得るものの、III族元素はIII族元素とは異なる。例えば、III族窒化物層がGaNの場合、III族窒化物層はAlGaN層又はInGaN層である。別の実施例において、III族窒化物層は三級組成物ではなくAlInGaN等の四級組成物を有する。III族窒化物層がAlGaNの場合、III族窒化物層はAlInGaN層上のInGaN層であってもよい。III族窒化物層を堆積するための適切な前駆体はIII族窒化物層に使用する上記の前駆体と同様であってもよい。また、同様のキャリアガスを使用することもできる。III族窒化物層の堆積後、前駆体流れを工程549で終了させる。
III族窒化物構造について上述したように、工程553において追加の処理(更なる堆積及び/又はエッチングを含み得る)を堆積済みのIII族窒化物構造上に行なってもよい。基板処理モジュール402における処理が完了したら、工程557において示されるように、基板を基板処理モジュール402から搬出する。
別の実施形態において、基板は第2モジュールから工程557で搬出され、次に別のモジュール(第1基板処理モジュール401又は更なる処理のための第三の別のモジュール等)に搬入される。異なる処理モジュール間での搬送シーケンスは特定のデバイスの製造に適した形で行なわれ、本発明では、特定の製造プロセスで使用し得る処理モジュール及び関連する処理チャンバの数にも、クラスタツール400の個々の処理モジュールにおいて行われる処理の回数についても特に制限はない。
1つ以上の計測ツール300及び/又は計測チャンバ405をクラスタツール400と統合することは、処理シーケンス(図5に記載の処理シーケンス等)の様々な工程での基板処理の質の確保に役立つ。ただし、本発明はいずれのIII−V族構造の作製に使用し得るが、III族窒化物構造には限定されない。計測ツール300、センサ301及び計測チャンバ405を使用することによって、基板処理の様々な段階(例えばIII族窒化物膜の成長又はクラスタツール400上で行われるその他のタイプの堆積及び/又はエッチ処理等)の間に、システムコントローラ161によって監視可能なデータが得られる。
システムコントローラ161に送られる測定データは次にオペレータインターフェース167で見ることができるため、膜成長パラメータ等の処理パラメータを手動で調節して基板処理を最適化する又は最適な処理パラメータからの逸脱を修正することができる。別の実施形態において、システムコントローラ161は閉ループ制御用に構成されているため、システムコントローラ161は、基板処理中又は基板処理前若しくは後に得られた測定データに基づいて、必要に応じて処理パラメータを自動的に調節することができる。
基板処理の様々な段階での閉ループ制御には幾つかの利点がある。システムコントローラ161は、人間のオペレータより効率的に既定の処理パラメータ値からの逸脱を検知し反応することができるが、これは逸脱の速度が急激すぎたり緩慢すぎて、人間のオペレータでは見逃す可能性があるからである。また、システムコントローラ161及び/又はシステム間コントローラ470は、1つ以上のクラスタツール400又は多重システム処理システム475に関する大量の計測及び処理データをより容易に監視することができる。
一実施形態において、システムコントローラ161及び/又はシステム間コントローラ470、1つ以上のクラスタツール400、計測ツール300、センサ301、302及び計測チャンバ405は、閉ループ制御システムを形成する。一実施形態において、この閉ループ制御システムは、統計的プロセス制御(SPC)の手法を使用して様々な基板処理操作を監視するように構成され、この手法を1つ以上の計測ツール300、センサ301及び計測チャンバ405から収集されたプロセス測定データに適用することによって、既定又はターゲットである処理パラメータ値からのプロセス逸脱を検知する。次に検知されたプロセス逸脱は、温度、圧力、ガス流量その他等の様々な処理チャンバ操作パラメータを制御可能なフィードバック制御メカニズム(PID(proportional−integral−derivative)制御装置等)によって自動的に修正され、処理パラメータは所望の設定値に自動的に戻る。
一実施形態においては、閉ループ制御システムを使用することによって、ある処理回中に又はある処理回と別の処理回との間で起こる処理パラメータ設定値からの逸脱を監視し、自動的に修正する。ここで、処理回(processing run)とは、単一の処理チャンバ内で別の処理チャンバに搬送されることなく行われる、堆積、エッチング等のひと続きの処理シーケンス又はその他の処理シーケンスのことである。例えば、工程533のIII族窒化物堆積は、1つの処理回と定義される。工程545のIII族窒化物堆積は、第2の処理回として定義でき、これらの処理は共に基板処理モジュール402の同じ処理チャンバ内で行われる。閉ループ制御システムを、単一の処理回内で及びある処理回から別の処理回にかけて、同じ処理チャンバ内で及び/又はある処理チャンバから別の処理チャンバにかけて並びに多重システム処理システム475内のあるクラスタツール400から別のクラスタツールにかけて、基板の処理を監視及び制御するように構成してもよい。
例えば、計測ツール300を使用したインシチュ測定を行なうことによって、膜成長パラメータ(膜成長速度、温度、圧力、前駆体流量その他等)を基板処理モジュール402の処理チャンバにおける工程533でのIII族窒化物堆積処理回の間、監視する。次に、このインシチュ測定データを使用してプロセス逸脱を検知し、閉ループ制御システムは、この逸脱を修正するための必要に応じた膜成長パラメータのリアルタイム調節を行なうことができる。また、この測定データを保存し利用することによって膜成長パラメータ設定値を調節し、同じ処理チャンバ内での次のIII族窒化物堆積処理回の基板処理を最適化できるように閉ループ制御システムを構成することもできる。
別の実施例において、閉ループ制御システムは、ある処理チャンバから別の処理チャンバにかけての基板処理(基板処理モジュール401の処理チャンバにおける工程517のIII族窒化物堆積及び基板処理モジュール402の処理チャンバにおける工程533のIII族窒化物堆積等。基板処理モジュール401及び基板処理モジュール402は、多重システム処理システム475の異なるクラスタツール400上にあってもよい)を監視及び制御するように構成される。
一実施形態において、閉ループ制御システムは、インシチュ測定のデータを使用して、高レベルの膜層特性(厚さ、ドーピングレベル、組成その他等)を処理パラメータとして割り当てるように構成される。即ち、処理パラメータ設定値が、温度、圧力、前駆体流量その他等の処理パラメータではなく層の特性となるように、適当なソフトウェア及び計測ツール300を用いて閉ループ制御システムを適切に構成する。
インシチュのプロセス監視及び制御の上記の実施例に加え、閉ループ制御システムを、1つ以上の計測チャンバ405を使用して様々な基板処理工程又は処理回の前又は後に得られた測定データを保存及び利用し、このデータを使用して1つ以上の処理チャンバにおける続く処理工程又は処理回におけるプロセス逸脱を検知及び修正し及び/又はこのデータを使用して処理を最適化するための処理パラメータ設定値の較正を行なうように構成してもよい。例えば、GaN膜成長パラメータ(温度、圧力、前駆体流量その他等)を、先行する処理回で使用した膜成長パラメータから較正したGaNの膜成長速度から求める。先行の処理回から得られたGaNの膜成長速度についての情報を使用して、次の回で使用する膜成長パラメータを最適化してもよい。膜成長速度は、ある成長時間に亘って膜厚を測定することで求めることができる。
上述したように、クラスタツール400への1つ以上の計測ツール300の統合によって基板処理データが得られ、閉ループ制御システム又はオペレータはプロセスレシピの基板処理パラメータを調節してプロセス逸脱を修正し及び/又は処理レシピを最適化することができる。1つ以上の計測ツール300の位置に応じて、処理測定を処理中又は基板処理の前若しくは後にインシチュで行うことができる。インシチュでの測定の1つの利点は、閉ループ制御システム又はオペレータによってプロセス逸脱が、1枚以上の基板が処理される前により早く検知、修正されることである。一実施形態において、1種類以上の測定はインシチュで行なわれ、測定データを使用することによって、1つ以上のプロセスレシピ実行中にこれらの制御を行なう。
上記は本発明の実施形態を対象としているが、本発明のその他及び更なる実施形態も本発明の基本的な範囲から逸脱することなく創作することができ、本発明の範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 基板上にIII−V族膜が堆積されるチャンバを備えた基板処理システムであって、
    前記チャンバは、
    処理容積を形成する1つ以上の壁と、
    処理容積の上部を画成するシャワーヘッドアセンブリと、
    シャワーヘッドアセンブリの下方に位置決めされ且つ処理容積の底部を画成する、基板を保持するための複数の凹部を有する回転可能な基板キャリアとを備えており、
    前記基板処理システムは、
    基板キャリア上に配置された基板の表面特性を測定するように構成された1つ以上の計測ツールと、
    計測ツールによる測定値に従ってチャンバの処理パラメータを制御するためのシステムコントローラとを備える基板処理システム。
  2. 1つ以上の計測ツールの少なくとも1つが、シャワーヘッドアセンブリに配置される請求項1記載のシステム。
  3. 1つ以上の計測ツールの少なくとも1つがチャンバの1つ以上の壁に配置される請求項1記載のシステム。
  4. III−V族膜が窒化ガリウムである請求項1記載のシステム。
  5. チャンバが、有機金属化学気相蒸着(MOCVD)チャンバ又は水素化物気相エピタキシ(HVPE)チャンバである請求項1記載のシステム。
  6. 1つ以上の計測ツールが、高温測定、反射光測定、偏光解析、フォトルミネッセンス分光、エレクトロルミネッセンス分光、X線回折(XRD)又はバンド端温度測定技法を使用して基板の表面の特性を測定するように構成される請求項1記載のシステム。
  7. 1つ以上の計測ツールによって測定される基板の表面の特性が、厚さ、反射率、材料組成、応力、ひずみ、フォトルミネッセンス、エレクトロルミネッセンス及び温度から成る群から選択される特性である請求項1記載のシステム。
  8. 搬送チャンバと、
    搬送チャンバ内に配置されたロボットと、
    搬送チャンバと連通した、少なくとも1つはIII−V族膜を基板上に堆積するように構成されている1つ以上の処理チャンバと、
    搬送チャンバと連通したサービスチャンバと、
    基板の表面特性を測定するように構成された1つ以上の計測ツールと、
    計測ツールによる測定値に従って1つ以上の処理チャンバの処理パラメータを制御するためのシステムコントローラとを備えるクラスタツール。
  9. 1つ以上の計測ツールの少なくとも1つがサービスチャンバ内に配置される請求項8記載のクラスタツール。
  10. 1つ以上の計測ツールの少なくとも1つが搬送チャンバに連結され且つ流体連通している請求項8記載のクラスタツール。
  11. 1つ以上の計測ツールの少なくとも1つが搬送チャンバ内に配置される請求項8記載のクラスタツール。
  12. 1つ以上の計測ツールの少なくとも1つが少なくとも1つの処理チャンバ内に配置される請求項8記載のクラスタツール。
  13. 少なくとも1つの処理チャンバが、MOCVD又はHVPE堆積用に構成される請求項8記載のクラスタツール。
  14. 1つ以上の計測ツールが、高温測定、反射光測定、偏光解析、フォトルミネッセンス分光、エレクトロルミネッセンス分光、XRD又はバンド端温度測定技法を使用して基板の表面の特性を測定するように構成される請求項8記載のクラスタツール。
  15. III−V族膜が窒化ガリウムである請求項8記載のクラスタツール。
JP2010545062A 2008-01-31 2009-01-23 閉ループmocvdにおける堆積制御 Pending JP2011514660A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2525208P 2008-01-31 2008-01-31
PCT/US2009/031831 WO2009099776A1 (en) 2008-01-31 2009-01-23 Closed loop mocvd deposition control

Publications (1)

Publication Number Publication Date
JP2011514660A true JP2011514660A (ja) 2011-05-06

Family

ID=40952420

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010545062A Pending JP2011514660A (ja) 2008-01-31 2009-01-23 閉ループmocvdにおける堆積制御

Country Status (5)

Country Link
US (1) US20110308453A1 (ja)
JP (1) JP2011514660A (ja)
KR (1) KR20100106608A (ja)
CN (1) CN101911253B (ja)
WO (1) WO2009099776A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8198605B2 (en) 2008-02-15 2012-06-12 Veeco Instruments Inc. Apparatus and method for batch non-contact material characterization
US8958061B2 (en) 2011-05-31 2015-02-17 Veeco Instruments Inc. Heated wafer carrier profiling
KR20160095091A (ko) * 2013-12-06 2016-08-10 어플라이드 머티어리얼스, 인코포레이티드 증착 배열체, 증착 장치 및 그의 동작 방법들
JP2017045927A (ja) * 2015-08-28 2017-03-02 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
JP2018206928A (ja) * 2017-06-02 2018-12-27 住友電工デバイス・イノベーション株式会社 半導体基板の製造方法
JP2020095274A (ja) * 2013-12-22 2020-06-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 堆積用監視システム及びその操作方法
JP2021518675A (ja) * 2018-03-20 2021-08-02 東京エレクトロン株式会社 プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
JP2021519522A (ja) * 2018-04-02 2021-08-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated インラインチャンバメテロロジ−
JP2022517361A (ja) * 2019-01-16 2022-03-08 アプライド マテリアルズ インコーポレイテッド 光学積層体の堆積及び装置内計測

Families Citing this family (419)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102804413A (zh) * 2009-12-14 2012-11-28 丽佳达普株式会社 衬底处理方法
US8318522B2 (en) * 2009-12-15 2012-11-27 Applied Materials, Inc. Surface passivation techniques for chamber-split processing
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
CN102212877B (zh) * 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
KR101395243B1 (ko) * 2011-04-29 2014-05-15 세메스 주식회사 기판처리장치 및 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
CN102296285A (zh) * 2011-09-09 2011-12-28 汉能科技有限公司 一种线列式有机金属化合物气相淀积系统及方法
JP2013084918A (ja) 2011-09-27 2013-05-09 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20140096113A (ko) * 2011-11-10 2014-08-04 쌩-고벵 크리스톡스 에 드테끄퇴르 반도체 결정 물질의 형성에 사용하기 위한 시스템
FR2984923B1 (fr) * 2011-12-27 2014-11-07 Soitec Silicon On Insulator Systèmes de dépôt comprenant des chambres de réaction configurées pour réaliser des opérations de métrologie in situ et procédés connexes
CN103382552B (zh) * 2012-05-04 2015-08-19 无锡华润上华科技有限公司 等离子化学气相沉积机台异常监控方法及系统
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
WO2013182880A2 (en) * 2012-06-07 2013-12-12 Soitec Deposition systems having deposition chambers configured for in-situ metrology with radiation deflection and related methods
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140272108A1 (en) * 2013-03-15 2014-09-18 Plasmability, Llc Toroidal Plasma Processing Apparatus
KR102242822B1 (ko) * 2013-05-01 2021-04-21 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 처리 시스템에서의 저온 측정을 위한 장치 및 방법
JP6526660B6 (ja) * 2013-08-12 2019-06-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9442048B2 (en) 2013-10-02 2016-09-13 The Boeing Company Gas sensing system and method
JP6334880B2 (ja) * 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
US9420639B2 (en) * 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
US10840102B2 (en) * 2013-11-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated system, integrated system operation method and film treatment method
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101605717B1 (ko) * 2014-07-16 2016-03-23 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9994956B2 (en) * 2014-08-11 2018-06-12 University Of Kansas Apparatus for in situ deposition of multilayer structures via atomic layer deposition and ultra-high vacuum physical or chemical vapor deposition
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9390910B2 (en) * 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102576846B1 (ko) * 2015-05-26 2023-09-08 오를리콘 서피스 솔루션스 아크티엔게젤샤프트, 페피콘 질화 몰리브덴 기반 코팅을 이용한 마모 및/또는 마찰 감소
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
DE112017002298T5 (de) * 2016-05-02 2019-02-14 Kla-Tencor Corporation Messung von Halbleiterstrukturen mit kapillarer Kondensation
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052471A1 (en) * 2016-09-14 2018-03-22 Applied Materials, Inc. A degassing chamber for arsenic related processes
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106637145A (zh) * 2016-12-30 2017-05-10 东莞市中镓半导体科技有限公司 一种用于hvpe设备工艺参数的智能修正调控系统
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10593871B2 (en) 2017-07-10 2020-03-17 University Of Kansas Atomic layer deposition of ultrathin tunnel barriers
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11264254B2 (en) 2018-03-20 2022-03-01 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
JP7348440B2 (ja) * 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) * 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) * 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102229688B1 (ko) * 2019-02-13 2021-03-18 프리시스 주식회사 밸브모듈 및 이를 포함하는 기판처리장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR102375496B1 (ko) * 2019-03-22 2022-03-18 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법, 그리고 기판 처리 프로그램
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110783217A (zh) * 2019-09-27 2020-02-11 南京国盛电子有限公司 一种晶圆外延参数异常侦测方法及系统
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210041654A (ko) 2019-10-07 2021-04-16 삼성전자주식회사 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
DE102019129788A1 (de) * 2019-11-05 2021-05-06 Aixtron Se Verwendung eines CVD Reaktors zum Abscheiden zweidimensionaler Schichten
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11232946B2 (en) * 2020-02-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of optimizing film deposition process in semiconductor fabrication by using gas sensor
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20220150927A (ko) * 2020-03-03 2022-11-11 인피콘, 인크. 반도체 공정을 모니터링하기 위한 시스템 및 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
KR20230009500A (ko) * 2020-05-26 2023-01-17 어플라이드 머티어리얼스, 인코포레이티드 진공 챔버를 세정하기 위한 방법, 기판의 진공 프로세싱을 위한 방법 및 기판을 진공 프로세싱하기 위한 장치
US11355325B2 (en) * 2020-05-28 2022-06-07 Applied Materials, Inc. Methods and systems for monitoring input power for process control in semiconductor process systems
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11581204B2 (en) * 2020-10-20 2023-02-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11613808B2 (en) * 2020-10-22 2023-03-28 Applied Materials, Inc. Clean processes for boron carbon film deposition
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US20220178029A1 (en) * 2020-12-03 2022-06-09 Tokyo Electron Limited Deposition apparatus and deposition method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023123567A1 (zh) * 2021-12-28 2023-07-06 江苏第三代半导体研究院有限公司 半导体加工系统及半导体加工方法
US20230375460A1 (en) * 2022-05-23 2023-11-23 Applied Materials, Inc. Epi self-heating sensor tube as in-situ growth rate sensor

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007049078A (ja) * 2005-08-12 2007-02-22 Sumitomo Electric Ind Ltd 半導体装置の製造方法および製造装置
JP2007523261A (ja) * 2004-02-18 2007-08-16 アイクストロン、アーゲー フォトダイオード列を有するcvd装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5677538A (en) * 1995-07-07 1997-10-14 Trustees Of Boston University Photodetectors using III-V nitrides
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
KR20030094491A (ko) * 2002-06-04 2003-12-12 삼성전자주식회사 연마 패드 및 이를 갖는 화학적 기계적 연마 장치
DE10240115B4 (de) * 2002-08-30 2004-10-28 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Handhaben von Substraten in einer Produktionslinie mit einer Cluster-Anlage und einer Messanlage

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007523261A (ja) * 2004-02-18 2007-08-16 アイクストロン、アーゲー フォトダイオード列を有するcvd装置
JP2007049078A (ja) * 2005-08-12 2007-02-22 Sumitomo Electric Ind Ltd 半導体装置の製造方法および製造装置

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8198605B2 (en) 2008-02-15 2012-06-12 Veeco Instruments Inc. Apparatus and method for batch non-contact material characterization
US8441653B2 (en) 2008-02-15 2013-05-14 Veeco Instruments Inc. Apparatus and method for batch non-contact material characterization
US8958061B2 (en) 2011-05-31 2015-02-17 Veeco Instruments Inc. Heated wafer carrier profiling
US9653340B2 (en) 2011-05-31 2017-05-16 Veeco Instruments Inc. Heated wafer carrier profiling
KR20160095091A (ko) * 2013-12-06 2016-08-10 어플라이드 머티어리얼스, 인코포레이티드 증착 배열체, 증착 장치 및 그의 동작 방법들
JP2016540892A (ja) * 2013-12-06 2016-12-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 堆積アレンジメント、堆積装置、及びこれらの操作方法
KR102137181B1 (ko) 2013-12-06 2020-08-13 어플라이드 머티어리얼스, 인코포레이티드 증착 배열체, 증착 장치 및 그의 동작 방법들
JP2020095274A (ja) * 2013-12-22 2020-06-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 堆積用監視システム及びその操作方法
JP7116753B2 (ja) 2013-12-22 2022-08-10 アプライド マテリアルズ インコーポレイテッド 堆積用監視システム及びその操作方法
JP2017045927A (ja) * 2015-08-28 2017-03-02 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
JP2018206928A (ja) * 2017-06-02 2018-12-27 住友電工デバイス・イノベーション株式会社 半導体基板の製造方法
JP2021518675A (ja) * 2018-03-20 2021-08-02 東京エレクトロン株式会社 プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
JP7395094B2 (ja) 2018-03-20 2023-12-11 東京エレクトロン株式会社 プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
JP2021519522A (ja) * 2018-04-02 2021-08-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated インラインチャンバメテロロジ−
JP7097458B2 (ja) 2018-04-02 2022-07-07 アプライド マテリアルズ インコーポレイテッド インラインチャンバメテロロジ-
JP2022517361A (ja) * 2019-01-16 2022-03-08 アプライド マテリアルズ インコーポレイテッド 光学積層体の堆積及び装置内計測
JP7447126B2 (ja) 2019-01-16 2024-03-11 アプライド マテリアルズ インコーポレイテッド 光学積層体の堆積及び装置内計測
TWI844584B (zh) * 2019-01-16 2024-06-11 美商應用材料股份有限公司 光學堆疊沉積與機載量測法

Also Published As

Publication number Publication date
CN101911253A (zh) 2010-12-08
WO2009099776A9 (en) 2011-01-06
KR20100106608A (ko) 2010-10-01
WO2009099776A1 (en) 2009-08-13
CN101911253B (zh) 2012-08-22
US20110308453A1 (en) 2011-12-22

Similar Documents

Publication Publication Date Title
JP2011514660A (ja) 閉ループmocvdにおける堆積制御
US11081623B2 (en) Oxygen controlled PVD AlN buffer for GaN-based optoelectronic and electronic devices
US8080466B2 (en) Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
JP2012525713A (ja) Led向けのクラスタツール
JP2012525708A (ja) Led製造のためのmocvdシングルチャンバスプリットプロセス
KR20100124257A (ko) 화학기상증착 장치
US10439099B2 (en) UV light emitting devices and systems and methods for production
US20120234238A1 (en) Integrated metrology for wafer screening
US20110171758A1 (en) Reclamation of scrap materials for led manufacturing
US20160079471A1 (en) Uv light emitting devices and systems and methods for production
US20120083060A1 (en) Integration of cluster mocvd and hvpe reactors with other process chambers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120123

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130215

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130319

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130327

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130419

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130426

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130716