JP2012525708A - Led製造のためのmocvdシングルチャンバスプリットプロセス - Google Patents

Led製造のためのmocvdシングルチャンバスプリットプロセス Download PDF

Info

Publication number
JP2012525708A
JP2012525708A JP2012508543A JP2012508543A JP2012525708A JP 2012525708 A JP2012525708 A JP 2012525708A JP 2012508543 A JP2012508543 A JP 2012508543A JP 2012508543 A JP2012508543 A JP 2012508543A JP 2012525708 A JP2012525708 A JP 2012525708A
Authority
JP
Japan
Prior art keywords
chamber
gas
layer
substrates
mocvd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2012508543A
Other languages
English (en)
Inventor
オルガ クリリオーク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012525708A publication Critical patent/JP2012525708A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一実施形態では、シャワーヘッドを備えた有機金属化学気相堆積(MOCVD)チャンバの処理領域内のサセプタ上に1枚または複数の基板を設置するステップと、MOCVDチャンバ中へとシャワーヘッドを通して第1のガリウム含有前駆物質および第1の窒素含有前駆物質を流すことによって、MOCVDチャンバ内部で熱化学気相堆積プロセスを用いて基板の上方に窒化ガリウム層を堆積するステップと、大気に1枚または複数の基板を曝すことなくMOCVDチャンバから1枚または複数の基板を取り除くステップと、シャワーヘッドから汚染物を除去するために、処理チャンバ中へと塩素ガスを流すステップと、シャワーヘッドから汚染物を除去するステップの後で、MOCVDチャンバ中へと1枚または複数の基板を搬送するステップと、MOCVDチャンバ内部で熱化学気相堆積プロセスを用いてGaN層の上方にInGaN層を堆積するステップとを備えた、化合物窒化物半導体デバイスを製造するための方法を提供する。

Description

本発明の実施形態は、一般に、発光ダイオード(LED)や、レーザダイオード(LD)などのデバイスの製造に関し、より詳しくは、有機金属化学気相堆積(MOCVD)プロセスによってIII−V族材料を形成するためのプロセスに関する。
III−V族膜は、短波長LEDや、LD、ならびに大出力や、高周波数や、高温のトランジスタおよび集積回路を含む電子デバイスなどの様々な半導体デバイスの開発および製造における非常に重要な発見である。例えば、短波長(例えば、青色/緑色から紫外線)LEDを、III族窒化物半導電性材料窒化ガリウム(GaN)を使用して製造する。GaNを使用して製造した短波長LEDが、II−VI族元素を包含する非窒化物半導電性材料を使用して製造した短波長LEDよりも著しく大きな効率およびより長い動作寿命をもたらすことができることが、観察されている。
GaNなどのIII族窒化物を堆積するために使用されてきている一方法が、有機金属化学気相堆積(MOCVD)である。ガリウム(Ga)などのIII族からの少なくとも1つの元素を含有する第1の前駆物質ガスの安定性を確実にするために、化学気相堆積法を、温度制御された環境を有する反応装置内で一般に実行する。アンモニア(NH)などの第2の前駆物質ガスは、III族窒化物を形成するために必要な窒素を提供する。2つの前駆物質ガスを、反応装置内部の処理ゾーン中へと注入し、そこで2つの前駆物質が混合し、処理ゾーン内の加熱された基板に向けて移動する。基板に向けた前駆物質ガスの搬送を補助するために、キャリアガスを使用することができる。前駆物質は、加熱した基板の表面で反応して、基板表面上にGaNなどのIII族窒化物層を形成する。膜の品質は、堆積均一性に部分的に依存し、これは順に、基板全域にわたる前駆物質の均一な流れおよび混合に依存する。
MOCVD処理チャンバの壁およびシャワーヘッドなどの室内表面上に望まれない堆積が、MOCVDプロセス中に生じることがある。かかる望まれない堆積は、チャンバ内部に微粒子および破片を生じさせることがあり、プロセス条件のドリフトをもたらし、より重要なことにはプロセスの再現性および均一性に影響を及ぼす。
LEDや、LDや、トランジスタや、集積回路に対する要求が増大するので、高品質III族窒化物膜を堆積する効率が、より大きな重要性を持つようになる。それゆえ、より大きな基板およびより広い堆積面積にわたって一貫性のある膜品質を提供することができるプロセスおよび装置の改善についての必要性がある。
本明細書において説明する実施形態は、一般に、有機金属化学気相堆積(MOCVD)プロセスによってIII−V族材料を形成するための方法に関する。一実施形態では、化合物窒化物半導体構造を製造するための方法を提供する。本方法は、第1のIII族元素を包含する第1のIII族前駆物質および第1の窒素含有前駆物質を使用して処理チャンバ内部で熱化学気相堆積プロセスを用いて1枚または複数の基板の上方に第1の層を堆積するステップであって、第1の層が窒素および第1のIII族元素を包含する、堆積するステップと、大気に1枚または複数の基板を曝すことなく、第1の層を堆積するステップの後で処理チャンバから1枚または複数の基板を取り除くステップと、第1の層を堆積するステップの後の処理チャンバから1枚または複数の基板を取り除くステップの後で、処理チャンバから汚染物を除去するために、処理チャンバ中へと第1のクリーニングガスを流すステップと、処理チャンバから汚染物を除去するステップの後で、大気に1枚または複数の基板を曝すことなく処理チャンバ中へと1枚または複数の基板を搬送するステップと、第2のIII族前駆物質および第2の窒素含有前駆物質を使用して処理チャンバ内部で熱化学気相堆積プロセスを用いて第1の層の上方に第2の層を堆積するステップであって、第2のIII族前駆物質が第1のIII族前駆物質によって包含されていない第2のIII族元素を包含する、堆積するステップとを備える。
別の一実施形態では、化合物窒化物半導体構造を製造するための方法を提供する。本方法は、シャワーヘッドを備えた有機金属化学気相堆積(MOCVD)チャンバの処理領域内のサセプタ上に1枚または複数の基板を設置するステップと、MOCVDチャンバ中へとシャワーヘッドを通して第1のガリウム含有前駆物質および第1の窒素含有前駆物質を流すことによって、MOCVDチャンバ内部で熱化学気相堆積プロセスを用いて基板の上方に窒化ガリウム層を堆積するステップと、大気に1枚または複数の基板を曝すことなくMOCVDチャンバから1枚または複数の基板を取り除くステップと、シャワーヘッドから汚染物を除去するために、処理チャンバ中へと塩素ガスを流すステップと、シャワーヘッドから汚染物を除去するステップの後で、MOCVDチャンバ中へと1枚または複数の基板を搬送するステップと、MOCVDチャンバ中へと第2のガリウム含有前駆物質や、インジウム含有前駆物質や、第2の窒素含有前駆物質を流すことによって、MOCVDチャンバ内部で熱化学気相堆積プロセスを用いてGaN層の上方にInGaN層を堆積するステップとを備える。
さらに別の一実施形態では、化合物窒化物半導体デバイスを製造するための統合型処理システムを提供する。本統合型処理システムは、熱化学気相堆積プロセスを用いて1枚または複数の基板の上方に窒化ガリウム(GaN)層を形成し、GaN層の上方に多重量子ウェル(MQW)層を形成するように動作する有機金属化学気相堆積(MOCVD)と、GaN層の上方にMQW層を形成することに先立って、MOCVDチャンバの1つまたは複数の室内表面から、1枚または複数の基板の上方にGaN層を形成するときに堆積した望まれない堆積蓄積物の少なくとも一部を除去するために、MOCVDチャンバ中へとハロゲン含有ガスを流すために動作しMOCVDチャンバに連結されたハロゲン含有ガスソースであって、ハロゲン含有ガスが、フッ素や、塩素や、臭素や、ヨウ素や、HIガスや、HClガスや、HBrガスや、HFガスや、NFや、これらの組合せを包含する群から選択される、ハロゲン含有ガスソースとを備える。
したがって、本発明の上に記述したフィーチャを細部にわたって理解することが可能な方式で、上に簡潔に要約されている本発明のより詳細な説明を、その一部が添付した図面に図説されている実施形態を参照することによって知ることができる。しかしながら、添付した図面が本発明の典型的な実施形態だけを図説し、本発明が他の同様に有効な実施形態を許容することができるので、それゆえ、本発明の範囲を限定するようには見なされないことに、留意すべきである。
GaNベースLEDの構造の概略図である。 LED構造の成長の後の、シャワーヘッド堆積物のEDXスペクトルの図である。 ガリウム−インジウムの状態図である。 本明細書において説明する実施形態による化合物窒化物半導体デバイスを製造する処理システムの一実施形態を図説する概略的な上面図である。 本明細書において説明する実施形態による化合物窒化物半導体デバイスを製造するための有機金属化学気相堆積(MOCVD)チャンバの概略的な断面図である。 本明細書において説明する実施形態によるシングルチャンバ化合物窒化物半導体形成のために使用することができるプロセスの流れ図である。 本明細書において説明する実施形態によるMOCVDチャンバクリーニングのために使用することができるクリーニングプロセスの流れ図である。 先行技術プロセスを使用して堆積したInについての、基板表面全域にわたるIn分布に関するIn蛍光X線を例証するプロットである。 本明細書において説明する実施形態により堆積したインジウムについての、基板表面全域にわたるインジウム分布に関するIn蛍光X線を例証するプロットである。
本明細書において説明する実施形態は、一般に、MOCVDプロセスによってIII−V族材料を形成するための方法に関する。一実施形態では、基板上にIII−N層の堆積の後で同一チャンバ内での基板上へのIII−N層の堆積に先立って、その場チャンバクリーニングプロセスを実行する。一実施形態では、高温GaN堆積プロセスの後で同一チャンバ内でのInGaN多重量子ウェル(MQW)や、AlGaNや、pGaNの成長に先立って、その場チャンバクリーニングプロセスを実行する。その場チャンバクリーニングプロセスの後でGaN堆積と同じチャンバ内でMQW堆積を実行することで、ガス相中のインジウムの欠乏を排除することが、本発明者によって見出されている。その結果として、トリメチルインジウム(TMI)入力流をやはり著しく減少させ、例えば、チャンバクリーニングプロセスを行わずに実行したその場InGaN MQW成長に対する800sccm〜1200sccmの流量と比較して、チャンバクリーニングプロセスを実行した後のInGaN MQW成長に対しては、400sccm〜500sccmのTMI流量を使用する。その上、チャンバクリーニングプロセスの後では、インジウム堆積が、基板全域にわたりより均一であり、これが望ましいホトルミネッセンス(PL)波長均一性をもたらす。一実施形態では、チャンバおよびチャンバ構成部品の表面上のガリウムコーティングを、チャンバからその後に除去することができるGaClへと変換させるために、MOCVDチャンバ中へと塩素ガスなどのハロゲン含有クリーニングガスを流すことによって、チャンバクリーニングプロセスを実行する。
本明細書において説明する実施形態を実施することができる具体的な装置を限定しないが、Santa Clara、CaliforniaのApplied Materials,Inc.によって販売されているクラスタツールシステム中で本実施形態を実施することが、とりわけ有益である。それに加えて、リニアシステムを含む他の製造業者から入手可能なシステムが、本明細書において説明する実施形態からやはり恩恵を受けることができる。
現在のところ、MOCVD技術は、III族窒化物ベースLED製造の成長のために最も広く使用されている技術である。1つの典型的な窒化物ベースの構造を、GaNベースLED構造100として図1Aに図説する。その構造は、基板104の上方に製造される。基板サイズを、直径で50mm〜100mm以上の範囲とすることができる。基板を、サファイアや、SiCや、GaNや、シリコンや、石英や、GaAsや、AlNや、ガラスのうちの少なくとも1つから構成することができることが、理解される。アンドープの窒化ガリウム(u−GaN層)およびこれに続くn型GaN層112を、基板の上方に形成した任意選択のバッファ層109(例えば、GaN)および/または任意選択のシード/核形成層108(例えば、窒化アルミニウム(AlN))の上方に堆積する。一実施形態では、核形成層108はAlGa1−XNを包含し、基板104はAlNを包含する。別の一実施形態では、バッファ層109は、GaNを包含し、AlGa1−XNを包含する核形成層108上に堆積される。デバイスの能動領域を、図面中ではInGaN層を包含するように示される多重量子ウェル層116において具体化する。p−n接合を、コンタクト層の役目をするp型GaN層124を具備する上に重なるp型AlGaN層120を用いて形成する。
かかるLED用の典型的な製造プロセスは、処理チャンバ内での基板104のクリーニングが後に続くMOCVDプロセスを使用することができる。処理チャンバ内へ適した前駆物質の流れを与え、堆積を遂行するために熱プロセスを使用することによって、MOCVD堆積を実現する。例えば、GaN層を、おそらくNや、Hや、NHのような流動性ガスの流れを用いて、Ga前駆物質および窒素含有前駆物質を使用して堆積することができる。InGaN層を、おそらく流動性ガスの流れを用いて、Ga前駆物質や、N前駆物質や、In前駆物質を使用して堆積することができる。AlGaN層を、やはりおそらく流動性ガスの流れを用いて、Ga前駆物質や、N前駆物質や、Al前駆物質を使用して堆積することができる。図説した構造100では、GaNバッファ層109は、約500Åの厚さを有し、約550℃の温度で堆積してきていることがある。u−GaNおよびn−GaN層112の引き続く堆積を、一実施形態では1,050℃付近などのより高い温度で典型的には実行する。u−GaNおよびn−GaN層112は、比較的厚い。一実施形態では、u−GaNおよびn−GaN層は、堆積のために約140分を必要とする約4μmの程度の厚さを有する。一実施形態では、InGaN多重量子ウェル(MQW)層116は、約750Åの厚さを有することがあり、これを約750℃の温度で約40分の期間にわたって堆積することができる。一実施形態では、p−AlGaN層120は、約200Åの厚さを有することがあり、これを約950℃から1,020℃までの温度で約5分内に堆積することができる。一実施形態では、構造を完成させるコンタクト層124の厚さを、約0.4μmとすることができ、25分近くで約1,050℃の温度で堆積することができる。それに加えて、シリコン(Si)またはマグネシウム(Mg)などのドーパントを、膜に添加することができる。堆積プロセス中に少量のドーパントガスを添加することによって、膜をドープすることができる。シリコンドーピングのために、例えば、シラン(SiH)ガスまたはジシラン(Si)ガスを使用することができ、ドーパントガスは、マグネシウムドーピングのためにビス(シクロペンタジエニル)マグネシウム(CpMgまたは(CMg)を含むことができる。
上記のステップをシングルMOCVDチャンバ内で実行するときには、高温でのGaNの成長が、MOCVDチャンバ内部に、特にMOCVDチャンバのシャワーヘッドまたはガス分配アセンブリを含むチャンバ構成部品上に、Ga金属およびGaNのひどい寄生的な堆積を結果としてもたらす。図1Bに示したように、この寄生的な堆積は、一般にガリウムに富んでいる。ガリウムリッチ堆積物は、トラップとして働くガリウムそれ自体の性質のために問題を引き起こし、例えば、トリメチルインジウム(TMI)や、トリメチルアルミニウム(TMA)や、シラン(SiH)およびジシラン(Si)などのn型ドーパントや、CpMgなどのp型ドーパントなどの、LEDの引き続く単一層の堆積のために使用するガス相前駆物質と反応する。InGaN多重量子ウェル(MQW)は、MOCVDチャンバ内部で好ましい条件において、図1Cに示したGa−In共晶形成のために最も影響を受けるものであり、一般に、PL波長ドリフトや、PL強度低下や、デバイス劣化を招く。
図2は、本明細書において説明する実施形態による化合物窒化物半導体デバイスを製造するためのシングルMOCVDチャンバ202を備えた処理システム200の一実施形態を図説する概略的上面図である。一実施形態では、処理システム200は、大気に対して閉ざされている。1つのMOCVDチャンバ202を示しているが、1つよりも多くのMOCVDチャンバ202または追加で、1つまたは複数の水素化物気相エピタキシャル(HVPE)チャンバと1つまたは複数のMOCVDチャンバ202との組合せを、搬送チャンバ206とやはり連結することができることが、理解されるはずである。処理システム200は、基板ハンドラ(図示せず)を収容する搬送チャンバ206や、搬送チャンバ206と連結されたMOCVDチャンバ202や、搬送チャンバ206と連結されたロードロックチャンバ208や、搬送チャンバ206と連結され、基板を保管するためのバッチロードロックチャンバ209や、ロードロックチャンバ208と連結され、基板をロードするためのロードステーション210を備える。搬送チャンバ206は、ロードロックチャンバ208や、バッチロードロックチャンバ209や、MOCVDチャンバ202の間で基板を持ち上げ搬送するように動作するロボットアセンブリ(図示せず)を備える。クラスタツールを示しているが、本明細書において説明する実施形態を、リニアトラックシステムを使用して実行することができることが、やはり理解されるはずである。
搬送チャンバ206を、プロセス中には真空にしたままにすることができる。搬送チャンバの真空レベルを、MOCVDチャンバ202の真空レベルと一致するように調節することができる。例えば、搬送チャンバ206からMOCVDチャンバ202中へと(または逆に)基板を搬送するときに、搬送チャンバ206およびMOCVDチャンバ202を、同じ真空レベルで維持することができる。その後、搬送チャンバ206からロードロックチャンバ208もしくはバッチロードロックチャンバ209へと(または逆に)基板を搬送するときには、ロードロックチャンバ208またはバッチロードロックチャンバ209とMOCVDチャンバ202との真空レベルが異なることがあっても、搬送チャンバの真空レベルを、ロードロックチャンバ208またはバッチロードロックチャンバ209の真空レベルと一致させることができる。したがって、搬送チャンバの真空レベルを、調節することができる。ある種の実施形態では、高純度N環境などの高純度不活性ガス環境中で、基板を搬送する。一実施形態では、90%よりも多くのNを有する環境中で、基板を搬送する。ある種の実施形態では、高純度NH環境中で基板を搬送する。一実施形態では、90%よりも多くのNHを有する環境中で、基板を搬送する。ある種の実施形態では、高純度H環境中で基板を搬送する。一実施形態では、90%よりも多くのHを有する環境中で、基板を搬送する。
処理システム200中では、ロボットアセンブリは、堆積を行うために基板をロードした基板キャリアプレート212をシングルMOCVDチャンバ202中へと搬送する。一実施形態では、基板キャリアプレート212を、200mm〜750mmの範囲とすることができる。基板キャリアを、SiCまたはSiCコーティングしたグラファイトを含む様々な材料から形成することができる。一実施形態では、キャリアプレート212は、炭化シリコン材料を包含する。一実施形態では、キャリアプレート212は、約1,000cm以上、好ましくは2,000cm以上、およびより好ましくは4,000cm以上の表面積を有する。すべてのまたは一部の堆積ステップが終わった後で、キャリアプレート212を、MOCVDチャンバ202からロードロックチャンバ208へ搬送して戻す。一実施形態では、キャリアプレート212が、次にロードステーション210に向かって解放される。別の一実施形態では、MOCVDチャンバ202内でさらに処理することに先立って、キャリアプレート212を、いずれかロードロックチャンバ208またはバッチロードロックチャンバ209内に保管することができる。1つの例示的なシステムが、「PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICE」という名称の、米国特許出願公開第2009/0194026号として現在公開されている、2008年1月31日に出願した米国特許出願第12/023,572号中に記載されており、これはその全体が引用によって本明細書中に組み込まれている。
システムコントローラ260は、処理システム200のアクティビティおよび動作パラメータを制御する。システムコントローラ260は、コンピュータプロセッサおよびプロセッサに連結されたコンピュータ可読メモリを含む。プロセッサは、メモリ中に記憶されたコンピュータプログラムなどのシステム制御ソフトウェアを実行する。処理システムおよび使用方法の態様が、「EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURE」という名称の、米国特許出願公開第2007/024,516号として現在公開されている、2006年4月14日に出願した米国特許出願第11/404,516号にさらに記載されており、これはその全体が引用により本明細書中に組み込まれている。
図3は、本明細書において説明する実施形態によるMOCVDチャンバの概略的な断面図である。MOCVDチャンバ202は、チャンバ本体302と、前駆物質ガスや、キャリアガスや、クリーニングガスおよび/またはパージガスを配送するための化学物質配送モジュール303と、プラズマソースを具備する遠隔プラズマシステム326と、サセプタまたは基板支持部314と、真空システム312とを備える。チャンバ202は、処理容積308を囲むチャンバ本体302を含む。シャワーヘッドアセンブリ304を、処理容積308の一方の端部に配置し、キャリアプレート212を、処理容積308の他方の端部に配置する。キャリアプレート212を、基板支持部314上に配置することができる。基板支持部314は、矢印315によって示したように、垂直方向に動かすためのzリフト機能を有する。一実施形態では、基板支持部を上方へシャワーヘッドアセンブリ304に近づけるか、または下方へシャワーヘッドアセンブリ304からさらに遠く離すかのいずれかに動かすために、zリフト機能を使用することができる。ある種の実施形態では、基板支持部314は、基板支持部314の温度を制御し、その結果、基板支持部314上に設置したキャリアプレート212および基板340の温度を制御するための加熱素子、例えば、抵抗加熱素子(図示せず)を備える。
一実施形態では、シャワーヘッドアセンブリ304は、処理容積308へ第1の前駆物質または第1のプロセスガス混合物を配送するための化学物質配送モジュール303に連結された第1の処理ガス流路304Aや、処理容積308へ第2の前駆物質または第2のプロセスガス混合物を配送するための化学物質配送モジュール303に連結された第2の処理ガス流路304Bや、シャワーヘッドアセンブリ304の温度の調整に役立つようにシャワーヘッドアセンブリ304へ熱交換流体を流すための熱交換システム370に連結された温度制御流路304Cを有する。適切な熱交換流体は、水か、水をベースとするエチレングリコール混合物か、ペルフルオロポリエーテル(例えば、Galden(登録商標)流体)か、油ベースの熱伝達流体か、または類似の流体を含むが、これらに限定されない。一実施形態では、処理中に、シャワーヘッドアセンブリ304内の第1の処理ガス流路304Aに連結されたガス導管346を介して処理容積308へ、第1の前駆物質または第1のプロセスガス混合物を配送することができ、第2の前駆物質または第2のプロセスガス混合物を、第2のガス処理流路304Bに連結されたガス導管345を介して処理容積308へ配送することができる。遠隔プラズマソースを使用する実施形態では、プラズマを、導管304Dを介して処理容積308へ配送することができる。プロセスガス混合物または前駆物質が、1つまたは複数の前駆物質ガスまたはプロセスガス、ならびに前駆物質ガスと混合することができるキャリアガスおよびドーパントガスを包含することができることに、留意すべきである。本明細書において説明する実施形態の実行に適合することができる例示的なシャワーヘッドが、「MULTI−GAS STRAIGHT CHANNEL SHOWERHEAD」という名称の、米国特許出願公開第2009/0098276号として現在公開されている、2007年10月16日に出願した米国特許出願第11/873,132号や、「MULTI−GAS SPIRAL CHANNEL SHOWERHEAD」という名称の、米国特許出願公開第2009/0095222号として現在公開されている、2007年10月16日に出願した米国特許出願第11/873,141号や、「MULTI−GAS CONCENTRIC INJECTION SHOWERHEAD」という名称の、米国特許出願公開第2009/0095221号として現在公開されている、2007年10月16日に出願した米国特許出願第11/873,170号に記載されている。
下側ドーム319を、下側容積310の一方の端部のところに配置し、キャリアプレート212を、下側容積310の他方の端部のところに配置する。キャリアプレート212を、プロセス位置に示すが、下側位置へ動かすことができ、そこでは、例えば、基板340をロードするまたはアンロードすることができる。堆積が下側容積310内に生じることを防止することに役立つように、およびやはりチャンバ202から排気ポート309へ排気ガスを向けることに役立つように、排気リング320を、キャリアプレート212の周辺部のまわりに配置することができる。基板340の輻射加熱のために光が通過することを可能にするために、下側ドーム319を、高純度石英などの透明材料から作ることができる。下側ドーム319の下方に配置した複数の内側ランプ321Aおよび外側ランプ321Bによって、輻射加熱を与えることができ、チャンバ202が内側ランプ321Aおよび外側ランプ321Bによって与えられる輻射エネルギーに曝されることの制御に役立つように、反射器366を使用することができる。追加のランプのリングを、基板340のより精密な温度制御のためにやはり使用することができる。
ある種の実施形態では、パージガス(例えば、窒素含有ガス)を、シャワーヘッドアセンブリ304からおよび/またはキャリアプレート212の下方でチャンバ本体302の底部近くに配置された吸気ポートまたは吸気管(図示せず)からチャンバ202中へと配送することができる。パージガスは、チャンバ202の下側容積310に入り、キャリアプレート212および排気リング320を通って上方へ流れ、環状排気流路305のまわりに配置された複数の排気ポート309中へと流れる。排気導管306は、真空ポンプ307を含む真空システム312へ環状排気流路305を接続する。排気ガスが環状排気流路から引かれる速度を制御する弁システムを使用して、チャンバ202圧力を制御することができる。MOCVDチャンバの他の態様が、「CVD APPARATUS」という名称の、米国特許出願公開第2009/0194024号として公開されている、2008年1月31日に出願した米国特許出願第12/023,520号に記載されおり、これはその全体が引用によって本明細書中に組み込まれている。
ある種の実施形態では、クリーニングガス(例えば、塩素ガスなどのハロゲン含有ガス)を、シャワーヘッドアセンブリ304からおよび/または処理容積308の近くに配置された吸入ポートまたは吸入管(図示せず)からチャンバ202へ配送することができる。クリーニングガスは、基板支持部314およびシャワーヘッドアセンブリ304などのチャンバ構成部品から堆積物を除去するためにチャンバ202の処理容積308へ入り、環状排気流路305のまわりに配置されている複数の排気ポート309を介してチャンバを出る。
化学物質配送モジュール303は、MOCVDチャンバ202へ化学物質を供給する。反応性ガスや、キャリアガスや、パージガスや、クリーニングガスを、化学物質配送システムから供給配管を通りチャンバ202中へと供給することができる。一実施形態では、複数のガスを複数の供給配管を通して供給し、複数のガスが互いに混合されシャワーヘッドアセンブリ304へ配送されるガス混合ボックス中へと供給する。一般に、ガスの各々に対する供給配管は、関係する配管中へのガスの流れを自動的にまたは手動で遮断するために使用することができる遮断弁、およびマスフローコントローラまたは供給配管を通るガスもしくは液体の流れを測定する別のタイプのコントローラを含む。ガスの各々に対する供給配管は、前駆物質濃度を監視し、リアルタイムフィードバックを与えるための濃度モニタをやはり含むことができ、前駆物質ガス濃度を制御するために背圧調整器を含むことができ、迅速で正確な弁スイッチング機能のために弁スイッチング制御部を使用することができ、ガス配管中の湿度センサが、水分レベルを測定しシステムソフトウェアへフィードバックを与えることができ、これは順に、操作員に警告/警報を提供することができる。供給配管中で前駆物質およびクリーニングガスが凝集することを防止するために、ガス配管をやはり加熱することができる。使用するプロセスに応じて、ソースのうちのあるものを、ガスではなくむしろ液体とすることができる。液体ソースを使用するときには、化学物質配送モジュールは、液体注入システムまたは液体を蒸発させるための他の適切な機構(例えば、バブラ)を含む。当業者には理解されるように、液体からの蒸気を次に通常キャリアガスと混合する。
遠隔マイクロ波プラズマシステム326は、チャンバクリーニングまたはプロセス基板からの残留物のエッチングなどの選択された応用のためにプラズマを生成することができる。入力配管を介して供給される前駆物質から遠隔プラズマシステム326内で生成されるプラズマ種を、導管304Dを介してシャワーヘッドアセンブリ304を通ってMOCVDチャンバ202へと分散させるために、導管を介して送る。クリーニング応用のための前駆物質ガスは、塩素含有ガスや、フッ素含有ガスや、ヨウ素含有ガスや、臭素含有ガスや、窒素含有ガスや、および/または他の反応性元素を含むことができる。層堆積プロセス中に遠隔マイクロ波プラズマシステム326中へと適切な堆積前駆物質ガスを流してCVD層を堆積させるために、遠隔マイクロ波プラズマシステム326をやはり適合させることができる。一実施形態では、MOCVDチャンバ202の室内をクリーニングするために処理容積308へ活性塩素種を配送するために、遠隔マイクロ波プラズマシステム326を使用する。
チャンバの壁内の流路(図示せず)を通り熱交換液を循環させることによって、MOCVDチャンバ202および排気通路などの周囲構造物の壁の温度をさらに制御することができる。望まれる効果に応じてチャンバ壁を加熱または冷却するために、熱交換液を使用することができる。例えば、熱い液体は、熱堆積プロセス中に一様な温度勾配を維持するために役立つことがある、ところが、その場プラズマプロセス中にシステムから熱を除去するために、またはチャンバの壁上への堆積生成物の形成を制限するために、冷たい液体を使用することができる。「熱交換器」による加熱と呼ばれるこの加熱は、望ましくない反応生成物の凝集を都合よく減少させるまたは排出させ、プロセスガスの揮発性生成物および、汚染物が冷たい真空通路の壁上に凝集しガスが流れていない期間中に処理チャンバ中へと遊走して戻るのであればプロセスを汚染させるはずの他の汚染物、の排出を改善する。
スプリットプロセス
図4は、本明細書において説明する実施形態によるシングルチャンバ化合物窒化物半導体形成のために使用することができるプロセス400の流れ図である。プロセスは、基板処理チャンバ中へと基板を搬送することによってブロック404において始まる。「基板」が「1枚または複数の基板」を含むことが、理解されるはずである。一実施形態では、基板処理チャンバは、MOCVDチャンバ202と同様である。窒化物構造の堆積のために、基板がサファイアを包含することができるけれども、使用することができる他の材料は、SiCや、Siや、スピネルや、リチウムガラートや、ZnOや、その他を含む。基板をブロック408においてクリーニングし、その後で、窒化物層の成長のために適したプロセスパラメータを、ブロック412において設定することができる。窒化物層の熱堆積のために適切な処理チャンバ内部の環境を定めるために、かかるプロセスパラメータは、温度や、圧力や、その他を含むことができる。前駆物質の流れを、ブロック416において基板上に与えて、ブロック420において基板上にIII−N構造を堆積する。前駆物質は、窒素ソースおよびGaなどの第1のIII族元素用のソースを含む。例えば、適した窒素前駆物質はNHを含み、適したGa前駆物質は、トリメチルガリウム(「TMG」)およびトリエチルガリウム(TEG)を含む。第1のIII族元素は、AlおよびGaなどの複数の異なるIII族元素を時には包含することができ、そのケースでは、適したAl前駆物質をトリメチルアルミニウム(「TMA」)とすることができる。別の一例では、複数の異なるIII族元素がInおよびGaを含み、そのケースでは、適したIn前駆物質をトリメチルインジウム(「TMI」)とすることができる。アルゴンや、窒素や、水素や、ヘリウムや、ネオンや、キセノンや、これらの組合せからなる群から選択される1つまたは複数のキャリアガスの流れを、やはり含むことができる。
ブロック420におけるIII−N構造の堆積の後で、前駆物質の流れをブロック424において終わらせる。ブロック426において、基板を大気に曝すことなく、基板を処理チャンバから取り除く。真空を破らずに処理チャンバから基板を取り除くことは、電気的に活性なドーパント/不純物として働く酸素および炭素に堆積したIII−N構造を曝すことを防ぐ。ブロック428においては、クリーニングプロセスを実行し、そこでは、処理チャンバの室内を第1のクリーニングガスに曝して、チャンバおよびチャンバ構成部品からガリウム含有堆積物などの汚染物を除去する。一実施形態では、クリーニングプロセスは、チャンバ壁および表面から堆積物を熱的にエッチするエッチャントガスにチャンバを曝すことを包含することができる。任意選択で、処理チャンバを、クリーニングプロセス中にプラズマに曝すことができる。クリーニングプロセス用のクリーニングガスは、フッ素ガス(F)や、塩素ガス(Cl)や、臭素ガス(Br)や、ヨウ素ガス(I)や、HIガスや、HClガスや、HBrガスや、HFガスや、NF、および/または他の反応性元素を含むことができる。アルゴンや、窒素や、水素や、ヘリウムや、ネオンや、キセノンや、これらの組合せからなる群から選択される1つまたは複数のキャリアガスの流れを、やはり含むことができる。一実施形態では、クリーニングプロセスは、チャンバをプラズマに曝すことを包含する。一実施形態では、プラズマを、遠隔プラズマ発生器によって発生させる。別の一実施形態では、プラズマをその場で発生させる。
クリーニングの後で、ブロック430において、基板を処理チャンバ中へと搬送して戻し、続く堆積ステップを同じ処理チャンバ内で実行する。ブロック432において、III−N層を基板上のIII−N層の上方に堆積する。
III−N層の堆積を、かかる堆積のために温度や、圧力や、その他などの適したプロセスパラメータ設定することによって実行する。ある実施形態では、III−N構造が、III−N構造によって包含されないIII族元素を含むとはいえ、III−N層およびIII−N層は、共通のIII族元素を追加で包含することができる。例えば、III−N層がGaNであるケースでは、III−N層を、AlGaN層またはInGaN層とすることができる。これらは、III−N層が三元系組成を有する例であるが、これが必ずしも必要ではなく、III−N層は四元系AlInGaNなどの他の組成をより一般的に含むことができる。同様に、III−N層がAlGaNである実施形態では、III−N層をAlInGaN層上のInGaN層とすることができる。III−N層の堆積用に適した前駆物質を、III−N層用に使用した前駆物質と同様なものとすることができる、すなわち、NHが適した窒素前駆物質であり、TMGが適したガリウム前駆物質であり、TEGが適したガリウム前駆物質であり、TMAが適したアルミニウム前駆物質であり、TMIが適したインジウム前駆物質である。アルゴンや、窒素や、水素や、ヘリウムや、ネオンや、キセノンや、これらの組合せからなる群から選択される1つまたは複数のキャリアガスの流れを、やはり含むことができる。
ブロック432におけるIII−N層の堆積の後で、ブロック438において、前駆物質の流れを終わらせる。任意選択で、ブロック440において、大気に1枚または複数の基板を曝すことなく、1枚または複数の基板を処理チャンバから取り除く。真空を破らずに処理チャンバから1枚または複数の基板を取り除くことは、電気的に活性なドーパント/不純物として働く酸素および炭素に堆積したIII−N構造を曝すことを防ぐ。ブロック442においては、任意選択のクリーニングプロセスを実行し、そこでは、処理チャンバの室内を第2のクリーニングガスに曝して、チャンバおよびチャンバ構成部品からIII族含有堆積物などの汚染物を除去する。
ブロック444においては、基板を、基板処理チャンバ中へと真空下で搬送する。ブロック444において1枚または複数の基板を処理チャンバ中へと搬送した後で、続く堆積プロセスを処理チャンバ内で実行する。
ブロック446においては、III−N層の成長のために適したプロセスパラメータを設定することができる。III−N層の堆積を、かかる堆積のための温度や、圧力や、その他などの適したプロセスパラメータ設定することによって実行する。ある実施形態では、III−N構造は、III−N層またはIII−N層のいずれかによって包含されていないIII族元素を含むけれども、III−N層や、III−N層や、III−N層は、共通のIII族元素を追加で包含することができる。例えば、III−N層がGaNであるケースでは、III−N層をInGaN層とすることができ、III−N層をAlGaN層とすることができる。これらは、III−N層が三元系組成を有する例であるが、これが必ずしも必要ではなく、III−N層は四元系AlInGaN層などの他の組成をより一般的に含むことができる。III−N層の堆積のために適した前駆物質を、III−N層およびIII−N層用に使用した前駆物質と同様なものとすることができる、すなわち、NHが適した窒素前駆物質であり、TMGが適したガリウム前駆物質であり、TEGが適したガリウム前駆物質であり、TMAが適したアルミニウム前駆物質であり、TMIが適したインジウム前駆物質である。アルゴンや、窒素や、水素や、ヘリウムや、ネオンや、キセノンや、これらの組合せからなる群から選択される1つまたは複数のキャリアガスの流れを、やはり含むことができる。
任意選択で、III−N層構造の堆積の後で、III−N層の成長のために適したプロセスパラメータを設定することができる。窒化物層の熱堆積のために適切な処理チャンバ内部の環境を定めるために、かかるプロセスパラメータは、温度や、圧力や、その他を含むことができる。基板上にIII−N構造を堆積するために、III前駆物質および窒素前駆物質の流れを与える。前駆物質は、窒素ソースおよびGaなどの第4のIII族元素用のソースを含む。例えば、適した窒素前駆物質はNHを含み、適したGa前駆物質は、トリメチルガリウム(「TMG」)およびトリエチルガリウム(TEG)を含む。アルゴンや、窒素や、水素や、ヘリウムや、ネオンや、キセノンや、これらの組合せからなる群から選択される1つまたは複数のキャリアガスの流れを、やはり含むことができる。
ブロック448においては、前駆物質の流れを終わらせる。ブロック450において、基板を大気に曝すことなく、基板を処理チャンバから取り除く。
ブロック452においては、任意選択の堆積後チャンバクリーニングを実行し、そこでは、ブロック454における追加の基板の処理に先立って、処理チャンバの室内を第3のクリーニングガスに曝して、チャンバおよびチャンバ構成部品から汚染物およびIII族含有堆積物を除去する。
III−N層や、III−N層や、III−N層や、III−N層の堆積のために使用する処理条件は、具体的な応用に応じて変わることがある。下記の表は、上に説明したデバイスを使用する窒化物半導体構造の成長の際に一般的に適している例示的な処理条件および前駆物質流量を提供する。
Figure 2012525708
前記の説明から明らかであるように、任意の所与のプロセスにおいて、プロセスがすべての前駆物質の流れを使用しないことがある。例えば、GaNの成長が、一実施形態ではTMGや、NHや、Nの流れを使用することができ、AlGaNの成長が、別の一実施形態ではTMGや、TMAや、NHや、Hの流れを使用することができ、堆積した層の所望の相対的なAl:Ga化学量論的組成をもたらすように選択したTMAおよびTMGの相対的な流量を具備し、InGaNの成長が、さらに別の一実施形態ではTMGや、TMIや、NHや、Nや、Hの流れを使用することができ、堆積した層の所望の相対的なIn:Ga化学量論的組成をもたらすように選択したTMIおよびTMGの相対的な流量を具備する。

処理システム200に関連して説明した化合物窒化物半導体の製造のために、一般的なプロセスをどのようにして使用することができるかを解説するために、下記の例を提供する。例は、LED構造を参照し、その製造を1つのMOCVDチャンバ202を有する処理システム200を使用して実行する。一実施形態では、LED構造は、構造100と同様である。最初のGaN層のクリーニングおよび堆積ならびに残りのInGaN層や、AlGaN層や、GaNコンタクト層の堆積を、そのMOCVDチャンバ202内で実行することができる。
1枚または複数の基板340を収容するキャリアプレート212をMOCVDチャンバ202中へと搬送することで、プロセスが始まる。MOCVDチャンバ202を、GaNの迅速な堆積をもたらすように構成する。前処理プロセスおよび/またはバッファ層を、MOCVD前駆物質ガスを使用してMOCVDチャンバ202内で基板の上方に成長させる。この例ではMOCVD前駆物質ガスを使用して実行する厚いu−GaN/n−GaN層の成長がこれの後に続く。
u−GaNおよびn−GaN層の堆積の後で、搬送チャンバ206を介して高純度N雰囲気中で搬送を行う状態で真空を破らずに、キャリアプレート212を、MOCVDチャンバ202から外へ、ロードロックチャンバ208またはバッチロードロックチャンバ209のいずれかの中へと搬送する。キャリアプレート212を取り除いた後で、MOCVDチャンバ202を、塩素ガスを用いてクリーニングする。一実施形態では、空のキャリアプレート212を、チャンバをクリーニングすることに先立ってMOCVDチャンバ202中へと挿入し、MOCVDチャンバ202をクリーニングしながらクリーニングガスに曝す。MOCVDチャンバ202をクリーニングした後で、キャリアプレート212をMOCVDチャンバ202中へと再挿入し、InGaN多重量子ウェル(MQW)能動層を、u−GaNおよびn−GaN層の上方に成長させる。
任意選択で、一実施形態では、MQW能動層を成長させた後で、搬送チャンバ206を介して高純度N雰囲気中で搬送を行う状態で真空を破らずに、キャリアプレート212を、MOCVDチャンバ202から外へ、ロードロックチャンバ208またはバッチロードロックチャンバ209のいずれかの中へと搬送する。キャリアプレート212を取り除いた後で、MOCVDチャンバ202を、塩素ガスを用いてクリーニングする。
MOCVDチャンバ202をクリーニングした後で、キャリアプレート212をMOCVDチャンバ202中へと再挿入し、p−AlGaN層およびp−GaN層を、InGaN MQW能動層の上方に堆積する。
MOCVDチャンバ202が、未処理の基板を具備したさらなるキャリアプレート212を受け取るように用意されるように、次に、完成した構造をMOCVDチャンバ202から外へ搬送する。一実施形態では、さらなる基板を処理することに先立って、MOCVDチャンバ202が、堆積後チャンバクリーニングを受けることができる。完成した構造は、いずれか、保管のためにバッチロードロックチャンバ209へ搬送されることがある、またはロードロックチャンバ208およびロードステーション210を介して処理システム200を出ることができる。
一実施形態では、複数のキャリアプレート212を、GaN層の堆積のためにMOCVDチャンバ202の中へおよび外へと個別に搬送することができ、次に、MOCVDチャンバ202をクリーニングしている間、各キャリアプレート212をバッチロードロックチャンバ209および/またはロードロックチャンバ208中に保管することができる。MOCVDチャンバ202をクリーニングした後で、InGaN多重量子ウェル(MQW)能動層の堆積のために、各キャリアプレート212をMOCVDチャンバ202へ個別に搬送することができる。
ある種の実施形態では、キャリアプレート212をチャンバとともにクリーニングすることが望ましいことがある。キャリアプレート212をMOCVDチャンバ202から取り出した後で、基板340をキャリアプレート212から取り外し、キャリアプレートを、MOCVDチャンバ202とともにクリーニングするためにMOCVDチャンバ202中へと再挿入する。
例示的なクリーニングプロセス
図5は、本明細書において説明する実施形態によるMOCVDチャンバクリーニングのために使用することができるクリーニングプロセス500の流れ図である。ブロック502においては、堆積プロセス中に発生した汚染物を除去するために、処理チャンバをパージし/真空排気する。ブロック502のパージ/真空排気プロセスは、ブロック506およびブロック512において下記に説明するパージ/真空排気プロセスと同様である。ブロック504に示したように、クリーニングガスを処理チャンバ中へと流す。クリーニングガスは、任意の適したハロゲン含有ガスを含むことができる。適したハロゲン含有ガスは、フッ素ガスや、塩素ガスや、臭素ガスや、ヨウ素ガス、およびHIガスや、HClガスや、HBrガスや、HFガスや、NFや、他の反応性元素を含むハロゲン化物、およびこれらの組合せを含む。一実施形態では、クリーニングガスは、塩素ガス(Cl)である。一実施形態では、処理チャンバは、チャンバ202と同様なMOCVDチャンバである。
ある種の実施形態では、本明細書中の流量を、室内チャンバ容積当たりのsccmとして表す。室内チャンバ容積を、ガスが占有することができるチャンバ室内の容積と定義する。例えば、チャンバ202の室内チャンバ容積は、チャンバ本体302によって定められる容積からシャワーヘッドアセンブリ304および基板支持部アセンブリ314によってその中で占有される容積を引いたものである。ある種の実施形態では、クリーニングガスを、約500sccmから約10,000sccmの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約1,000sccmから約4,000sccmまでの流量でチャンバ中へと流す。一実施形態では、クリーニングガスを、約2,000sccmの流量でチャンバ中へと流す。一実施形態では、クリーニングガスを、約12.5sccm/Lから約250sccm/Lの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約25sccm/Lから約100sccm/Lまでの流量でチャンバ中へと流す。一実施形態では、クリーニングガスを、約50sccm/Lの流量でチャンバ中へと流す。
一実施形態では、クリーニングガスを、キャリアガスとの並行流として流すことができる。キャリアガスを、アルゴンや、窒素や、水素や、ヘリウムや、ネオンや、キセノンや、これらの組合せからなる群から選択される1つまたは複数のガスとすることができる。一実施形態では、キャリアガスを、約500sccmから約3,000sccmまでの流量でチャンバ中へと流す。一実施形態では、キャリアガスを、約1,000sccmから約2,000sccmまでの流量でチャンバ中へと流す。一実施形態では、キャリアガスを、約12.5sccm/Lから約75sccm/Lまでの流量でチャンバ中へと流す。一実施形態では、キャリアガスを、約25sccm/Lから約50sccm/Lまでの流量でチャンバ中へと流す。一実施形態では、チャンバの全圧力は、約0.001Torrから約500Torrまでである。一実施形態では、チャンバの全圧力は、約50Torrから約200Torrまでである。一実施形態では、チャンバの全圧力は、約100Torrである。GaClをガス相に保つために、より低い圧力が一般的に好まれる。一実施形態では、サセプタの温度は、約500℃から約700℃までである。一実施形態では、サセプタの温度は、約550℃から約700℃までである。一実施形態では、サセプタの温度は、約650℃である。一実施形態では、シャワーヘッドの温度は、約100℃から約200℃までである。クリーニングガスを、約2分から約10分の期間にわたり処理チャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約5分の期間にわたり処理チャンバ中へと流すことができる。クリーニングのいくつかのサイクルを、クリーニングサイクル間に実行する任意選択のパージプロセスとともに適用することができることが、理解されるはずである。クリーニングガス流の期間を、チャンバの表面およびシャワーヘッドを含むチャンバ構成部品の表面から、ガリウムおよびGaN堆積物などのガリウム含有堆積物を除去するために一般的に十分に長くすべきである。一実施形態では、キャリアガスを、クリーニングガスとともに流すことができる。キャリアガスを、数ある中でも、アルゴンや、窒素(N)や、ヘリウムや、ネオンや、キセノンからなる群から選択される1つまたは複数のガスとすることができる。一実施形態では、クリーニングガスは、プラズマ含有クリーニングガスである。一実施形態では、プラズマ含有クリーニングガスを、遠隔プラズマ発生器を使用して遠くで形成する。一実施形態では、プラズマ含有ガスを、処理チャンバ内部でその場で形成する。
ブロック506を参照すると、クリーニングガスの流れまたはパルスを止めた後で、クリーニングプロセス中に発生したクリーニング副生成物を除去するために、処理チャンバをパージし/真空排気する。パージガスは、アルゴン、窒素、水素、ヘリウム、ネオン、キセノンからなる群から選択される1つまたは複数のパージガスとしてもよく、これらの組合せもまた含めてもよい。一実施形態では、パージガスを、ブロック504の任意選択のキャリアガスと同じにすることができる。一実施形態では、約1,000sccmから約7,000sccmの流量でパージガスを与えることによって、処理チャンバをパージする。一実施形態では、パージガスを、約2,000sccmから約4,000sccmまでの流量で処理チャンバに与える。一実施形態では、約25sccm/Lから約175sccm/Lの流量でパージガスを与えることによって、処理チャンバをパージする。一実施形態では、パージガスを、約50sccm/Lから約160sccm/Lまでの流量で処理チャンバに与える。一実施形態では、チャンバを、約0.001Torrから約10Torrまでの全チャンバ圧力で維持することができる。一実施形態では、チャンバの全圧力を、約5Torrとすることができる。一実施形態では、サセプタの温度は、約600℃から約1,000℃までである。一実施形態では、サセプタの温度は、約900℃である。一実施形態では、シャワーヘッドの温度は、約100℃未満である。一実施形態では、パージガスを、約5分の期間にわたって処理チャンバ中へと流すことができる。パージガス流の期間を、処理チャンバからブロック504のクリーニングプロセスの副生成物を除去するために一般的に十分に長くすべきである。
代替で、またはパージガスを導入することに加えて、処理チャンバから残留クリーニングガスならびにすべての副生成物を除去するために、処理チャンバを減圧することができる。減圧プロセスは、約0.5秒から約20秒の期間内にチャンバ圧力を約0.001Torrから約40Torrの範囲の圧力に減少させるという結果をもたらすことができる。
キャリアガスをブロック504のクリーニングガスとともに使用する実施形態では、ブロック506のパージプロセスを、キャリアガスを流し続けたままでクリーニングガスの流れを止めることによって実行することができる。したがって、キャリアガスをブロック506のパージプロセスにおけるパージガスとして機能させることを可能にする。
ブロック508に示したように、処理チャンバをブロック506においてパージし/真空排気した後で、任意選択のクリーニングガスを処理チャンバ中へと流す。クリーニングガスは、フッ素ガスや、塩素ガスや、ヨウ素ガスや、臭素ガスや、HIガスや、HClガスや、HBrガスや、HFガスや、NFや、他の反応性元素や、これらの組合せなどのハロゲン含有ガスを含むことができる。一実施形態では、クリーニングガスは、塩素ガス(Cl)である。一実施形態では、ブロック508におけるクリーニングガスは、ブロック504において使用したクリーニングガスと同じである。別の一実施形態では、ブロック504およびブロック508において使用するクリーニングガスは、異なるクリーニングガスである。
一実施形態では、クリーニングガスを、約1,000sccmから約10,000sccmまでの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約3,000sccmから約5,000sccmまでの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約4,000sccmの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約25sccm/Lから約250sccm/Lまでの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約75sccm/Lから約125sccm/Lまでの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約100sccm/Lの流量でチャンバ中へと流すことができる。上に論じたように、キャリアガスを、任意選択で、クリーニングガスとともに並行流として流すことができる。キャリアガスを、アルゴンや、窒素や、水素や、ヘリウムや、ネオンや、キセノンや、これらの組合せからなる群から選択される1つまたは複数のガスとすることができる。一実施形態では、キャリアガスを、約1,000sccmから約5,000sccmまでの流量でチャンバ中へと流す。一実施形態では、キャリアガスを、約2,000sccmから約3,000sccmまでの流量でチャンバ中へと流す。一実施形態では、キャリアガスを、約25sccm/Lから約125sccm/Lまでの流量でチャンバ中へと流す。一実施形態では、キャリアガスを、約50sccm/Lから約75sccm/Lまでの流量でチャンバ中へと流す。一実施形態では、チャンバを、約300Torrから約700Torrの全チャンバ圧力で維持することができる。一実施形態では、チャンバを、約600Torrの全チャンバ圧力で維持することができる。一実施形態では、サセプタの温度は、約400℃から約600℃である。一実施形態では、サセプタの温度は、約420℃である。一実施形態では、シャワーヘッドの温度は、約200℃よりも高い。一実施形態では、シャワーヘッド温度は、約260℃よりも高く、例えば、約260℃から約400℃までである。クリーニングガスを、約2分から約10分の期間にわたり処理チャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約3分の期間にわたり処理チャンバ中へと流すことができる。
ブロック510に示したように、処理チャンバ中へとクリーニングガスを流した後で、任意選択のソークプロセスを実行することができる。ソークプロセス中には、クリーニングガスの流れを減少し、一方で、サセプタ温度や、シャワーヘッド温度や、チャンバ圧力を維持することができる。一実施形態では、クリーニングガスの流量を、ブロック508における流量と比較して約250sccmから約1,000sccmの間に減少させることができる。一実施形態では、クリーニングガスの流量を、約500sccmに減少させることができる。一実施形態では、クリーニングガスの流量を、ブロック508における流量と比較して約6.25sccm/Lから約25sccm/Lの間に減少させることができる。一実施形態では、クリーニングガスの流量を、約12.5sccm/Lに減少させることができる。一実施形態では、チャンバの全圧力は、約300Torrから約700Torrまでである。一実施形態では、チャンバの全圧力は、約600Torrである。一実施形態では、サセプタ温度は、約400℃から約600℃までである。一実施形態では、サセプタ温度は、約420℃である。一実施形態では、シャワーヘッドの温度は、約200℃よりも高い。一実施形態では、シャワーヘッド温度は、約260℃よりも高く、例えば、約260℃から約400℃までである。ソークプロセスを、約1分から約5分の期間にわたり実行することができる。一実施形態では、ソークプロセスを、約2分の期間にわたり実行することができる。
ブロック512を参照すると、任意選択のソークプロセスの後で、ソークプロセスおよびクリーニングプロセス中に発生したクリーニング副生成物を除去するために、処理チャンバをパージし/真空排気することができる。パージガスを、アルゴンや、窒素や、水素や、ヘリウムや、ネオンや、キセノンや、これらの組合せからなる群から選択される1つまたは複数のパージガスとすることができる。一実施形態では、パージガスを、ブロック510の任意選択のキャリアガスと同じにすることができる。一実施形態では、約1,000sccmから約4,000sccmの流量でパージガスを与えることによって、処理チャンバをパージする。一実施形態では、パージガスを、約3,000sccmの流量で処理チャンバ中へと流すことができる。任意選択で、パージプロセス中に、クリーニングガスを、約2,000sccmから約6,000sccmまでの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約4,000sccmの流量でチャンバ中へと流すことができる。一実施形態では、約25sccm/Lから約100sccm/Lの流量でパージガスを与えることによって、処理チャンバをパージする。一実施形態では、パージガスを、約75sccm/Lの流量で処理チャンバ中へと流すことができる。任意選択で、パージプロセス中に、クリーニングガスを、約50sccm/Lから約150sccm/Lまでの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、約100sccm/Lの流量でチャンバ中へと流すことができる。一実施形態では、クリーニングガスを、パージガスとの並行流として流す。一実施形態では、全チャンバ圧力は、約0.001Torrから約10Torrまでである。一実施形態では、全チャンバ圧力は、約5Torrである。一実施形態では、サセプタ温度は、約400℃から約600℃までである。一実施形態では、サセプタ温度は、約430℃である。一実施形態では、シャワーヘッド温度は、約200℃よりも高い。一実施形態では、シャワーヘッド温度は、約260℃よりも高く、例えば、約260℃から約400℃までである。ソークプロセスを、約1分から約5分の期間にわたり実行することができる。一実施形態では、ソークプロセスを、約2分の期間にわたり実行することができる。一実施形態では、パージガスを、約5分の期間にわたって処理チャンバ中へと流すことができる。パージガス流の期間を、処理チャンバからブロック508のクリーニングプロセスおよびブロック510のソークプロセスの副生成物を除去するために一般的に十分に長くすべきである。
一実施形態では、ブロック502や、ブロック506や、ブロック512のパージプロセスのうちのいずれかまたは2つを、クリーニングプロセス後の処理チャンバ内の残留GaClの量を減少させるために、昇温した温度(>1,000℃)でアンモニア(NH)などの窒素含有ガスを用いて実行することができる。任意選択で、チャンバクリーニングプロセスからのすべての残留堆積物をチャンバから完全に取り去ることを確実にするために、チャンバベークプロセスを、上記のいずれかのパージプロセスの後で、窒素および/または水素含有雰囲気中で、約950℃から約1,050℃までの高温で、約0.001Torrから約5Torrまでの低圧で実行することができる。他の態様および例示的なクリーニングプロセスが、2008年10月2日に出願した、「METHOD FOR DEPOSITING GROUP III/V COMPOUNDS」という名称の、米国特許出願第2009/0149008号として現在公開されている、米国特許出願第12/244,440号に記載されおり、その全体が引用によって組み込まれている。
図6Aは、先行技術を使用して堆積したインジウム(In)について、基板の表面全域にわたるインジウム(In)分布についてのIn蛍光X線を例証するプロットである。図6Bは、本明細書において説明する実施形態によって堆積したInについて、基板の表面全域にわたるIn分布についてのIn蛍光X線を例証するプロットである。図6Aおよび図6Bを参照すると、x軸は、基板の中心に対する位置をミリメートル(mm)で表し、y軸は、インジウム蛍光X線強度を表す。図6Aに図示した結果を得るために使用した先行技術プロセスは、GaN層およびInGaN層を本明細書において説明したスプリットプロセス法の恩恵を受けずに同じチャンバ内で堆積したその場プロセスであった。図6Bに図示した結果を得るために使用したプロセスは、チャンバクリーニングをGaN層の形成後に同じチャンバ内部でInGaN層の堆積に先立って実行したその場プロセスを使用して実行された。図6Bに図示した結果は、先行技術プロセスを使用して得られた図6Aに図示した結果と比較して、MQW成長に先立って、(uGaNおよびnGaNの両方の)高温GaN堆積の後でチャンバクリーニングを用いるシングルチャンバスプリットプロセスについて、基板全域にわたるインジウムのより均一な分布を示す。
上記は本発明の実施形態に向けられているが、本発明の別の実施形態およびさらなる実施形態を、本発明の基本的な範囲から乖離せずに考案することができ、本発明の範囲は、下記の特許請求の範囲によって決められる。

Claims (15)

  1. 化合物窒化物構造を製造するための方法であって、
    第1のIII族元素を包含する第1のIII族前駆物質および第1の窒素含有前駆物質を使用して処理チャンバ内で1枚または複数の基板の上方に第1の層を堆積するステップであって、前記第1の層が窒素および前記第1のIII族元素を包含する、堆積するステップと、
    大気に前記1枚または複数の基板を曝すことなく、前記第1の層を堆積するステップの後で、前記処理チャンバから前記1枚または複数の基板を取り除くステップと、
    前記処理チャンバの表面上に配置された前記第1の層の少なくとも一部を除去するために、前記処理チャンバから前記1枚または複数の基板を取り除くステップの後で、前記処理チャンバ中へと第1のクリーニングガスを流すステップと、
    前記第1の層の少なくとも一部を除去するステップの後で、大気に前記1枚または複数の基板を曝すことなく前記処理チャンバ中へと前記1枚または複数の基板を搬送するステップと、
    第2のIII族前駆物質および第2の窒素含有前駆物質を使用して前記処理チャンバ内で前記第1の層の上方に第2の層を堆積するステップであって、前記第2のIII族前駆物質が前記第1のIII族前駆物質によって包含されていない第2のIII族元素を包含する、堆積するステップと
    を備えた、方法。
  2. 前記第2の層を堆積するステップの後で、大気に前記1枚または複数の基板を曝すことなく前記処理チャンバから前記1枚または複数の基板を取り除くステップと、
    前記第2の層を堆積するステップの後の前記処理チャンバから前記1枚または複数の基板を取り除くステップの後で、前記処理チャンバの表面上に配置された前記第2の層の少なくとも一部を除去するために、前記処理チャンバ中へと第2のクリーニングガスを流すステップと、
    前記処理チャンバ中へと第2のクリーニングガスを流すステップの後で、大気に前記1枚または複数の基板を曝すことなく前記処理チャンバ中へと前記1枚または複数の基板を搬送するステップと、
    第3のIII族元素を包含する第3のIII族前駆物質および第3の窒素含有前駆物質を使用して、前記処理チャンバ内の前記1枚または複数の基板の上方に第3の層を堆積するステップであって、前記第3の層が窒素および前記第3のIII族元素を包含する、堆積するステップと、
    第4のIII族元素を包含する第4のIII族前駆物質および第4の窒素含有前駆物質を使用して、前記処理チャンバ内の前記1枚または複数の基板の上方に第4の層を堆積するステップであって、前記第4の層が窒素および前記第4のIII族元素を包含する、堆積するステップと、
    前記第4の層を堆積するステップの後で、大気に前記1枚または複数の基板を曝すことなく前記処理チャンバから前記1枚または複数の基板を取り除くステップと、
    第4の層を前記堆積するステップの後の前記処理チャンバから前記1枚または複数の基板を取り除くステップの後で、前記処理チャンバの表面上に配置された前記第4の層の少なくとも一部を除去するための堆積後クリーニングを実行するために、前記処理チャンバ中へと第3のクリーニングガスを流すステップと
    をさらに備えた、請求項1に記載の方法。
  3. 前記第1のクリーニングガス、前記第2のクリーニングガス、および前記第3のクリーニングガスが、フッ素ガス、塩素ガス、臭素ガス、ヨウ素ガス、HIガス、HClガス、HBrガス、HFガス、およびこれらの組合せからなる群からそれぞれ個別に選択されたハロゲン含有ガスである、請求項2に記載の方法。
  4. 前記第1のIII族元素がガリウムであり、
    前記第2のIII族元素がインジウムであり、
    前記第3のIII族元素がアルミニウムであり、
    前記第4のIII族元素がガリウムであり、
    前記第1の層が窒化ガリウム(GaN)層を包含し、
    前記第2の層が窒化インジウムガリウム(InGaN)層を包含し、
    前記第3の層がpドープした窒化アルミニウムガリウム(AlGaN)層を包含し、
    前記第4の層がpドープしたGaN層を包含する、
    請求項3に記載の方法。
  5. 前記第1の層の前記一部が、シャワーヘッドを含む前記処理チャンバの構成部品上に堆積したGaリッチ窒化ガリウム(GaN)を主として包含する、請求項1に記載の方法。
  6. 前記処理チャンバから前記1枚または複数の基板を取り除くステップの後で前記処理チャンバ中へと前記第1のクリーニングガスを流すステップの前に、前記処理チャンバをパージするステップと、
    前記処理チャンバ中へと前記第1のクリーニングガスを流すステップの後で、前記処理チャンバをパージするステップと
    をさらに備えた、請求項1に記載の方法。
  7. 前記処理チャンバ中へと前記第3のクリーニングガスを流すステップの後で、前記処理チャンバをパージするステップと、
    前記処理チャンバをパージするステップの後で、窒素および/または水素含有雰囲気中で、約950℃から約1,050℃までの温度で、約0.001Torrから約5Torrまでのチャンバ圧力でチャンバベークプロセスを実行するステップと
    をさらに備えた、請求項2に記載の方法。
  8. 化合物窒化物構造を製造するための方法であって、
    シャワーヘッドを備えた有機金属化学気相堆積(MOCVD)チャンバの処理領域内のサセプタ上に1枚または複数の基板を設置するステップと、
    前記MOCVDチャンバ中へと前記シャワーヘッドを通して第1のガリウム含有前駆物質および第1の窒素含有前駆物質を流すことによって、前記MOCVDチャンバ内部で熱化学気相堆積プロセスを用いて前記1枚または複数の基板の上方に窒化ガリウム(GaN)層を堆積するステップと、
    大気に前記1枚または複数の基板を曝すことなく前記MOCVDチャンバから前記1枚または複数の基板を取り除くステップと、
    前記シャワーヘッドから前記GaN層の少なくとも一部を除去するために、前記処理チャンバ中へと塩素ガスを流すステップと、
    前記シャワーヘッドから前記GaN層の前記一部を除去するステップの後で、前記MOCVDチャンバ中へと前記1枚または複数の基板を搬送するステップと、
    前記MOCVDチャンバ中へと第2のガリウム含有前駆物質、インジウム含有前駆物質、および第2の窒素含有前駆物質を流すことによって、前記MOCVDチャンバ内部で熱化学気相堆積プロセスを用いて前記GaN層の上方に窒化インジウムガリウム(InGaN)層を堆積するステップと
    を備えた、方法。
  9. 前記MOCVDチャンバ中へと前記1枚または複数の基板を搬送するステップに先立って、前記MOCVDチャンバ中へと第1のパージガスを流すステップと、
    前記MOCVDチャンバ中へと前記第1のパージガスを流すステップの後で、前記シャワーヘッドから前記GaN層の少なくとも別の一部を除去するために、前記MOCVDチャンバ中へとクリーニングガスを流すステップと、
    前記MOCVDチャンバ中へと前記クリーニングガスを流すステップの後で、前記MOCVDチャンバ中へと第2のパージガスを流すステップと
    をさらに備えた、請求項8に記載の方法。
  10. 前記塩素ガスが、約1,000sccmから約4,000sccmまでの流量で、約0.001Torrから100Torrまでの全チャンバ圧力で、約600℃から約700℃までのサセプタ温度で、約100℃から約200℃までのシャワーヘッド温度で前記MOCVDチャンバ中へと流される、請求項9に記載の方法。
  11. 前記第1のパージガスが、約1,000sccmから約5,000sccmの流量で、約0.001Torrから約10Torrの全チャンバ圧力で、約900℃のサセプタ温度で、100℃よりも低いシャワーヘッド温度で前記MOCVDチャンバ中へと流され、
    前記クリーニングガスが、約3,000sccmから約5,000sccmの流量で、約300Torrから約700Torrの全チャンバ圧力で、約400℃から約600℃のサセプタ温度で、約260℃から約400℃までのシャワーヘッド温度で前記MOCVDチャンバ中へと流され、
    前記第2のパージガスが、約1,000sccmから約4,000sccmまでの流量で、約0.001Torrから約10Torrまでの全チャンバ圧力で、約400℃から約600℃までのサセプタ温度で、200℃よりも高いシャワーヘッド温度で与えられる、請求項10に記載の方法。
  12. 前記MOCVDチャンバから前記1枚または複数の基板を前記取り除くステップが、90%よりも多くのNを有する雰囲気中でロードロックチャンバへ前記基板を搬送するステップを備える、請求項11に記載の方法。
  13. 化合物窒化物デバイスを製造するための統合型処理システムであって、
    熱化学気相堆積プロセスを用いて1枚または複数の基板の上方に窒化ガリウム(GaN)層を形成し、前記GaN層の上方に多重量子ウェル(MQW)層を形成するように動作する有機金属化学気相堆積(MOCVD)チャンバと、
    前記GaN層の上方に前記MQW層を形成することに先立って、前記MOCVDチャンバの1つまたは複数の室内表面から、前記1枚または複数の基板の上方に前記GaN層を形成するときに堆積した前記GaN層の少なくとも一部を除去するために、前記MOCVDチャンバ中へとハロゲン含有ガスを流すために動作する前記MOCVDチャンバに連結されたハロゲン含有ガスソースであって、前記ハロゲン含有ガスが、フッ素、塩素、臭素、ヨウ素、HIガス、HClガス、HBrガス、HFガス、NF、およびこれらの組合せを包含する群から選択される、ハロゲン含有ガスソースと
    を備えた、統合型処理システム。
  14. 前記GaN層の上方に前記MQW層を形成することに先立って、前記MOCVDチャンバから前記GaN層と前記ハロゲン含有ガスとの反応から形成された反応副生成物を除去するために、前記MOCVDチャンバ中へとパージガスを流すために動作する前記MOCVDチャンバに連結されたパージガスソース
    をさらに備えた、請求項13に記載の統合型処理システム。
  15. 前記MOCVDチャンバと搬送可能に連通する搬送領域と、
    大気に前記1枚または複数の基板を曝すことなく前記1枚または複数の基板を搬送するために前記搬送領域内に配置されたロボットアセンブリと、
    前記搬送領域と搬送可能に連通するロードロックチャンバであって、前記1枚または複数の基板を搬送するステップが、90%よりも多くのNを有する環境中で、大気に前記基板を曝すことなく前記MOCVDチャンバから前記ロードロックチャンバへ前記1枚または複数の基板を搬送するステップを備える、ロードロックチャンバと
    をさらに備えた、請求項13に記載の統合型処理システム。
JP2012508543A 2009-04-28 2010-04-22 Led製造のためのmocvdシングルチャンバスプリットプロセス Withdrawn JP2012525708A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17355209P 2009-04-28 2009-04-28
US61/173,552 2009-04-28
US12/730,975 2010-03-24
US12/730,975 US8110889B2 (en) 2009-04-28 2010-03-24 MOCVD single chamber split process for LED manufacturing
PCT/US2010/032032 WO2010129183A2 (en) 2009-04-28 2010-04-22 Mocvd single chamber split process for led manufacturing

Publications (1)

Publication Number Publication Date
JP2012525708A true JP2012525708A (ja) 2012-10-22

Family

ID=42992510

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012508543A Withdrawn JP2012525708A (ja) 2009-04-28 2010-04-22 Led製造のためのmocvdシングルチャンバスプリットプロセス

Country Status (6)

Country Link
US (3) US8110889B2 (ja)
JP (1) JP2012525708A (ja)
KR (1) KR20120009504A (ja)
CN (1) CN102414845A (ja)
TW (1) TW201101531A (ja)
WO (1) WO2010129183A2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015012274A (ja) * 2013-07-02 2015-01-19 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP2015142038A (ja) * 2014-01-29 2015-08-03 東京エレクトロン株式会社 成膜装置
JP2015156418A (ja) * 2014-02-20 2015-08-27 株式会社ニューフレアテクノロジー 気相成長方法
WO2016080450A1 (ja) * 2014-11-20 2016-05-26 株式会社ニューフレアテクノロジー 気相成長方法
JP2016524808A (ja) * 2013-04-23 2016-08-18 アイクストロン、エスイー 後続の多段洗浄ステップを伴うmocvd層成長方法
JP2016174014A (ja) * 2015-03-16 2016-09-29 豊田合成株式会社 基板処理方法および半導体素子の製造方法
JP2016201481A (ja) * 2015-04-10 2016-12-01 株式会社ニューフレアテクノロジー 気相成長方法

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009049020A2 (en) 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8623148B2 (en) * 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
WO2011044046A2 (en) * 2009-10-07 2011-04-14 Applied Materials, Inc. Improved multichamber split processes for led manufacturing
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20140144381A1 (en) 2011-05-19 2014-05-29 Furukawa Co., Ltd. Method for washing semiconductor manufacturing apparatus component, apparatus for washing semiconductor manufacturing apparatus component, and vapor phase growth apparatus
US20130005118A1 (en) * 2011-07-01 2013-01-03 Sung Won Jun Formation of iii-v materials using mocvd with chlorine cleans operations
TWI470672B (zh) * 2011-08-22 2015-01-21 Soitec Silicon On Insulator 用於鹵化物氣相磊晶系統之直接液體注入及方法
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
CN103022268A (zh) * 2011-09-22 2013-04-03 理想能源设备(上海)有限公司 硅基薄膜太阳能电池制造方法及其制造装置
US9044793B2 (en) * 2011-11-22 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
DE102011056538A1 (de) 2011-12-16 2013-06-20 Aixtron Se Verfahren zum Entfernen unerwünschter Rückstände aus einem MOCVD-Reaktor sowie zugehörige Vorrichtung
JP6545460B2 (ja) 2012-02-29 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ロードロック構成内の除害・剥離処理チャンバ
JP5766647B2 (ja) * 2012-03-28 2015-08-19 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
JP5551730B2 (ja) * 2012-03-28 2014-07-16 日本電信電話株式会社 半導体薄膜の製造方法
KR101411423B1 (ko) * 2012-06-15 2014-06-25 주식회사 티지오테크 금속 할로겐 가스 및 질화 가스가 단일유입관으로 공급되는 배치식 박막 형성 장치
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9925569B2 (en) 2012-09-25 2018-03-27 Applied Materials, Inc. Chamber cleaning with infrared absorption gas
US20140124788A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI600786B (zh) 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
US9276190B2 (en) 2013-10-01 2016-03-01 The Pen Practical method of producing an aerogel composite continuous thin film thermoelectric semiconductor material by modified MOCVD
DE102014102039A1 (de) * 2014-02-18 2015-08-20 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Nitrid-Verbindungshalbleiterschicht
KR102145205B1 (ko) 2014-04-25 2020-08-19 삼성전자주식회사 반도체 소자 제조방법 및 증착 장치의 유지보수방법
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN104112662A (zh) * 2014-07-25 2014-10-22 中国科学院半导体研究所 气相外延在线清洗装置及方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
DE102015101462A1 (de) 2015-02-02 2016-08-04 Aixtron Se Verfahren und Vorrichtung zum Abscheiden einer III-V-Halbleiterschicht
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
DE112015006632B4 (de) * 2015-06-18 2023-09-21 Kochi Prefectural Public University Corporation Verfahren zur Bildung eines Metalloxidfilms
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI671787B (zh) 2015-09-22 2019-09-11 美商應用材料股份有限公司 清洗方法
US10861693B2 (en) 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
TWI692021B (zh) * 2016-07-05 2020-04-21 伯思達綠能科技股份有限公司 Led製造用圖案化藍寶石基板的氮化鎵薄膜清除裝置及其清除方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052471A1 (en) * 2016-09-14 2018-03-22 Applied Materials, Inc. A degassing chamber for arsenic related processes
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10690589B2 (en) * 2017-07-28 2020-06-23 Kla-Tencor Corporation Laser sustained plasma light source with forced flow through natural convection
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10410845B2 (en) * 2017-11-22 2019-09-10 Applied Materials, Inc. Using bias RF pulsing to effectively clean electrostatic chuck (ESC)
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108133985A (zh) * 2017-12-22 2018-06-08 安徽三安光电有限公司 一种氮化物发光二极管
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019236937A1 (en) * 2018-06-08 2019-12-12 Applied Materials, Inc. Temperature controlled gas diffuser for flat panel process equipment
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7137070B2 (ja) * 2018-12-03 2022-09-14 日本電信電話株式会社 窒化物半導体光電極の製造方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
FR3098019B1 (fr) * 2019-06-25 2022-05-20 Aledia Dispositif optoélectronique comprenant des éléments semi-conducteurs tridimensionnels et procédé pour sa fabrication
CN112309815B (zh) * 2019-07-26 2023-07-28 山东浪潮华光光电子股份有限公司 生产led外延片的mocvd系统维护保养后的恢复方法
TWI730419B (zh) * 2019-09-20 2021-06-11 力晶積成電子製造股份有限公司 鋁層的蝕刻後保護方法
KR20220148735A (ko) * 2021-04-29 2022-11-07 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법
WO2023099674A1 (de) * 2021-12-03 2023-06-08 Aixtron Se Verfahren und vorrichtung zum abscheiden einer ein element der v. hauptgruppe enthaltenen schicht in einer prozesskammer und anschliessendem reinigen der prozesskammer
WO2024097507A1 (en) * 2022-11-01 2024-05-10 Lam Research Corporation Reducing particle buildup in processing chambers
DE102022134874A1 (de) 2022-12-28 2024-07-04 Aixtron Se Verfahren zum Abscheiden von GaN auf Si

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
EP0576566B1 (en) * 1991-03-18 1999-05-26 Trustees Of Boston University A method for the preparation and doping of highly insulating monocrystalline gallium nitride thin films
WO1992022084A1 (en) 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH09501612A (ja) 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JPH0945670A (ja) * 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
JP3476638B2 (ja) 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
JP3085364B2 (ja) 1997-07-22 2000-09-04 日本電気株式会社 Cvd装置のクリーニング方法
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
WO1999066565A1 (en) 1998-06-18 1999-12-23 University Of Florida Method and apparatus for producing group-iii nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6242347B1 (en) * 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
US6413839B1 (en) 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
KR100304664B1 (ko) 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
EP1879213B1 (en) 1999-05-26 2012-03-14 Tokyo Electron Limited Plasma processing apparatus
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
WO2001057289A1 (de) 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
JP4849705B2 (ja) 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
ATE518239T1 (de) 2000-04-17 2011-08-15 Mattson Tech Inc Verfahren zur uv-vorbehandlung von ultradünnem oxynitrid zur herstellung von siliziumnitridschichten
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
ATE528421T1 (de) * 2000-11-30 2011-10-15 Univ North Carolina State Verfahren zur herstellung von gruppe-iii- metallnitrid-materialien
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6656272B2 (en) 2001-03-30 2003-12-02 Technologies And Devices International, Inc. Method of epitaxially growing submicron group III nitride layers utilizing HVPE
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
US7211833B2 (en) * 2001-07-23 2007-05-01 Cree, Inc. Light emitting diodes including barrier layers/sublayers
JP2003077839A (ja) * 2001-08-30 2003-03-14 Toshiba Corp 半導体製造装置のパージ方法及び半導体装置の製造方法
DE10163394A1 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
WO2003054929A2 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren zum abscheiden von iii-v-halbleiterschichten auf einem nicht-iii-v-substrat
AUPS240402A0 (en) * 2002-05-17 2002-06-13 Macquarie Research Limited Gallium nitride
KR100568701B1 (ko) 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
JP2007525822A (ja) 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US6906351B2 (en) * 2003-08-05 2005-06-14 University Of Florida Research Foundation, Inc. Group III-nitride growth on Si substrate using oxynitride interlayer
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7205205B2 (en) * 2003-11-12 2007-04-17 Applied Materials Ramp temperature techniques for improved mean wafer before clean
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
EP1809788A4 (en) * 2004-09-27 2008-05-21 Gallium Entpr Pty Ltd METHOD AND APPARATUS FOR GROWING GROUP (III) METAL NITRIDE FILM AND GROUP (III) METAL FILM
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
KR101145753B1 (ko) 2005-03-10 2012-05-16 재팬 사이언스 앤드 테크놀로지 에이젼시 평면의 반극성 갈륨 질화물의 성장을 위한 기술
TWI377602B (en) * 2005-05-31 2012-11-21 Japan Science & Tech Agency Growth of planar non-polar {1-100} m-plane gallium nitride with metalorganic chemical vapor deposition (mocvd)
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US8946674B2 (en) * 2005-08-31 2015-02-03 University Of Florida Research Foundation, Inc. Group III-nitrides on Si substrates using a nanostructured interlayer
JP4803578B2 (ja) 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
KR100755804B1 (ko) * 2005-12-27 2007-09-05 주식회사 아이피에스 알루미늄 함유 금속막 및 알루미늄 함유 금속 질화막을증착하는 박막 증착 장치의 세정방법
US7470599B2 (en) * 2006-04-14 2008-12-30 Applied Materials, Inc. Dual-side epitaxy processes for production of nitride semiconductor structures
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7364991B2 (en) 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) * 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
US20080314317A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Showerhead design with precursor pre-mixing
CN101604665A (zh) * 2007-07-20 2009-12-16 镓力姆企业私人有限公司 用于氮化物基膜以及其制造的掩埋接触器件
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20090149008A1 (en) 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100888440B1 (ko) * 2007-11-23 2009-03-11 삼성전기주식회사 수직구조 발광다이오드 소자의 제조방법
US20090194024A1 (en) 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016524808A (ja) * 2013-04-23 2016-08-18 アイクストロン、エスイー 後続の多段洗浄ステップを伴うmocvd層成長方法
JP2015012274A (ja) * 2013-07-02 2015-01-19 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP2015142038A (ja) * 2014-01-29 2015-08-03 東京エレクトロン株式会社 成膜装置
KR101852233B1 (ko) * 2014-01-29 2018-04-25 도쿄엘렉트론가부시키가이샤 성막 방법
JP2015156418A (ja) * 2014-02-20 2015-08-27 株式会社ニューフレアテクノロジー 気相成長方法
WO2016080450A1 (ja) * 2014-11-20 2016-05-26 株式会社ニューフレアテクノロジー 気相成長方法
JP2016174014A (ja) * 2015-03-16 2016-09-29 豊田合成株式会社 基板処理方法および半導体素子の製造方法
JP2016201481A (ja) * 2015-04-10 2016-12-01 株式会社ニューフレアテクノロジー 気相成長方法

Also Published As

Publication number Publication date
US8110889B2 (en) 2012-02-07
WO2010129183A2 (en) 2010-11-11
US20100273291A1 (en) 2010-10-28
US20100273290A1 (en) 2010-10-28
WO2010129183A4 (en) 2011-03-17
WO2010129183A3 (en) 2011-01-20
KR20120009504A (ko) 2012-01-31
CN102414845A (zh) 2012-04-11
US20120111272A1 (en) 2012-05-10
TW201101531A (en) 2011-01-01

Similar Documents

Publication Publication Date Title
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
US8080466B2 (en) Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US8183132B2 (en) Methods for fabricating group III nitride structures with a cluster tool
US20110244663A1 (en) Forming a compound-nitride structure that includes a nucleation layer
US8642368B2 (en) Enhancement of LED light extraction with in-situ surface roughening
US20110081771A1 (en) Multichamber split processes for led manufacturing
TWI496935B (zh) Mocvd腔室在原位清潔後利用nh3淨化之去汙染
US20100279020A1 (en) METHOD OF FORMING IN-SITU PRE-GaN DEPOSITION LAYER IN HVPE
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
US20080050889A1 (en) Hotwall reactor and method for reducing particle formation in GaN MOCVD
TW200917340A (en) Parasitic particle suppression in the growth of III-V nitride films using MOCVD and HVPE
US20130005118A1 (en) Formation of iii-v materials using mocvd with chlorine cleans operations
US20110207256A1 (en) In-situ acceptor activation with nitrogen and/or oxygen plasma treatment
US20110171758A1 (en) Reclamation of scrap materials for led manufacturing
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20130702