KR20120009504A - Led 제조를 위한 금속유기화학기상증착 단일 챔버 분할 프로세스 - Google Patents

Led 제조를 위한 금속유기화학기상증착 단일 챔버 분할 프로세스 Download PDF

Info

Publication number
KR20120009504A
KR20120009504A KR1020117028426A KR20117028426A KR20120009504A KR 20120009504 A KR20120009504 A KR 20120009504A KR 1020117028426 A KR1020117028426 A KR 1020117028426A KR 20117028426 A KR20117028426 A KR 20117028426A KR 20120009504 A KR20120009504 A KR 20120009504A
Authority
KR
South Korea
Prior art keywords
chamber
gas
layer
substrates
mocvd
Prior art date
Application number
KR1020117028426A
Other languages
English (en)
Inventor
올가 크라이리욱
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120009504A publication Critical patent/KR20120009504A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

일 실시예에서, 질화 화합물 반도체 구조물을 제조하기 위한 방법이 제공되며, 그러한 방법은 샤워헤드를 포함하는 금속유기화학기상증착(MOCVD) 챔버의 프로세싱 영역 내의 서셉터 상에 하나 또는 둘 이상의 기판을 배치하는 단계; 상기 샤워 헤드를 통해서 제 1 갈륨 함유 전구체 및 제 1 질소 함유 전구체를 MOCVD 챔버 내로 유동시킴으로써 MOCVD 챔버 내에서 열적 화학적-기상-증착 프로세스로 기판 위에 갈륨 질화물 층을 증착하는 단계; 하나 또는 둘 이상의 기판을 대기중으로 노출시키지 않고 MOCVD 챔버로부터 제거하는 단계; 상기 샤워헤드로부터 오염물질을 제거하기 위해서 프로세싱 챔버 내로 염소 가스를 유동시키는 단계; 상기 샤워헤드로부터 오염물질을 제거한 후에 상기 MOCVD 챔버 내로 하나 또는 둘 이상의 기판을 이송하는 단계; 그리고 제 2 갈륨 함유 전구체, 인듐 함유 전구체, 및 제 2 질소 함유 전구체를 MOCVD 챔버 내로 유동시킴으로써 상기 MOCVD 챔버 내에서 열적 화학적-기상-증착 프로세스를 이용하여 GaN 층 위에 InGaN 층을 증착하는 단계를 포함한다.

Description

LED 제조를 위한 금속유기화학기상증착 단일 챔버 분할 프로세스{MOCVD SINGLE CHAMBER SPLIT PROCESS FOR LED MANUFACTURING}
본원 발명의 실시예는 전체적으로 발광다이오드(LEDs), 레이저 다이오드(LDs)와 같은 소자의 제조에 관한 것이고, 특히 금속유기화학기상증착(MOCVD) 증착 프로세스에 의해서 Ⅲ-Ⅴ 족 물질을 형성하기 위한 프로세스에 관한 것이다.
Ⅲ-Ⅴ 족 필름들은 단파장 LEDs, LDs, 그리고 고파워(high power), 고주파, 고온 트랜지스터 및 집적 회로를 포함하는 전자 소자와 같은 다양한 반도체 소자를 개발 및 제조하는데 있어서의 중요성이 커지고 있다. 예를 들어, 단파장(예를 들어, 청색/녹색부터 자외선) LEDs가 Ⅲ-족 질화물 반도체 물질 즉, 갈륨 질화물(GaN)을 이용하여 제조된다. GaN을 이용하여 제조된 단파장 LEDs는 Ⅱ-Ⅵ 족 원소를 포함하는 비-질화물 반도체 물질을 이용하여 제조된 단파장 LEDs 보다 상당히 높은 효율 및 긴 작동 수명을 제공할 수 있다는 것이 관찰되었다.
GaN과 같은 Ⅲ 족-질화물을 증착하기 위해서 이용되는 하나의 방법은, 금속유기화학기상증착(MOCVD) 방법이다. 갈륨(Ga)과 같은 Ⅲ 족 원소들 중 하나 이상을 포함하는 제 1 전구체 가스의 안정성을 보장하기 위해서, 이러한 화학기상증착 방법은 온도 제어 환경의 반응기 내에서 일반적으로 실시된다. 암모니아(NH3)와 같은 제 2 전구체 가스는 Ⅲ 족-질화물을 형성하는데 필요한 질소를 제공한다. 2개의 전구체 가스가 반응기 내의 프로세싱 구역으로 주입되고, 그곳에서 그 가스들이 혼합되고 그리고 프로세싱 구역 내의 가열된 기판을 향해서 이동된다. 기판을 향한 전구체 가스의 운반을 돕기 위해서 캐리어 가스가 이용될 수 있다. 전구체들은 가열된 기판의 표면에서 반응하여 GaN과 같은 Ⅲ 족-질화물 층을 기판 표면 위에 형성한다. 필름의 품질은 증착 균일도에 부분적으로 의존하고, 그러한 기판 균일도는 다시 기판에 걸친 전구체들의 균일한 유동 및 혼합에 의존한다.
MOCVD 프로세싱 챔버의 벽들 및 샤워헤드(showerheads)와 같은 내부 표면 상의 원하지 않는 증착이 MOCVD 프로세스 중에 발생될 수 있을 것이다. 그러한 원치 않는 증착은 챔버 내에서 입자 및 프레이크(flakes)를 생성할 수 있고, 결과적으로 프로세스 조건의 이탈(drift)을 초래하고 그리고 보다 중요하게는 프로세스 재현 및 균일도에 영향을 미치게 된다.
LEDs, LDs, 트랜지스터 및 집적 회로에 대한 수요가 높아짐에 따라, 고품질 Ⅲ 족-질화물 필름의 증착 효율이 보다 더 중요해 지고 있다. 그에 따라, 대형 기판 및 넓은 증착 면적에 걸쳐 일정한 필름 품질을 제공할 수 있는 개선된 프로세스 및 장치가 요구되고 있다.
본원 명세서에 개시된 실시예들은 전체적으로 금속유기화학기상증착(MOCVD) 프로세스에 의해서 Ⅲ-Ⅴ 족 물질을 형성하기 위한 방법에 관한 것이다. 일 실시예에서, 질화 화합물 반도체 구조물을 제조하기 위한 방법이 제공된다. 그러한 방법은 제 1 질소 함유 전구체 및 제 1의 Ⅲ-족 원소를 포함하는 제 1의 Ⅲ-족 전구체를 이용하여 프로세싱 챔버 내에서 열적(thermal) 화학적-기상-증착 프로세스로 하나 또는 둘 이상의 기판 위에 제 1 층을 증착하는 단계로서, 상기 제 1 층이 질소 및 제 1의 Ⅲ-족 원소를 포함하는 제 1 층 증착 단계; 상기 제 1 층의 증착 후에 하나 또는 둘 이상의 기판을 대기중으로 노출시키지 않고 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거하는 단계; 제 1 층을 증착한 후에 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거한 후에 상기 프로세싱 챔버로부터 오염물질을 제거하기 위해서 프로세싱 챔버 내로 제 1 세정 가스를 유동시키는 단계; 상기 프로세싱 챔버로부터 오염물질을 제거한 후에 하나 또는 둘 이상의 기판을 대기중으로 노출시키지 않고 상기 하나 또는 둘 이상의 기판을 프로세싱 챔버 내로 이송하는 단계; 그리고 제 2의 Ⅲ-족 전구체 및 제 2 질소 함유 전구체를 이용하여 상기 프로세싱 챔버 내에서 열적 화학적-기상-증착 프로세스로 제 1 층 위에 제 2 층을 증착하는 단계로서, 상기 제 2의 Ⅲ-족 전구체는 상기 제 1의 Ⅲ-족 전구체에 포함되지 않는 제 2의 Ⅲ-족 원소를 포함하는, 제 2 층 증착 단계를 포함한다.
다른 실시예에서, 질화 화합물 반도체 구조물을 제조하기 위한 방법이 제공된다. 그러한 방법은 샤워헤드를 포함하는 금속유기화학기상증착(MOCVD) 챔버의 프로세싱 영역 내의 서셉터(susceptor) 상에 하나 또는 둘 이상의 기판을 배치하는 단계; 상기 샤워 헤드를 통해서 제 1 갈륨 함유 전구체 및 제 1 질소 함유 전구체를 MOCVD 챔버 내로 유동시킴으로써 MOCVD 챔버 내에서 열적 화학적-기상-증착 프로세스로 기판 위에 갈륨 질화물 층을 증착하는 단계; 하나 또는 둘 이상의 기판을 대기중으로 노출시키지 않고 MOCVD 챔버로부터 제거하는 단계; 상기 샤워헤드로부터 오염물질을 제거하기 위해서 프로세싱 챔버 내로 염소 가스를 유동시키는 단계; 상기 샤워헤드로부터 오염물질을 제거한 후에 상기 MOCVD 챔버 내로 하나 또는 둘 이상의 기판을 이송하는 단계; 그리고 제 2 갈륨 함유 전구체, 인듐 함유 전구체, 및 제 2 질소 함유 전구체를 MOCVD 챔버 내로 유동시킴으로써 상기 MOCVD 챔버 내에서 열적 화학적-기상-증착 프로세스를 이용하여 GaN 층 위에 InGaN 층을 증착하는 단계를 포함한다.
또 다른 실시예에서, 질화 화합물 반도체 소자(compound nitride semiconductor devices)를 제조하기 위한 통합형(integrated) 프로세싱 시스템이 제공된다. 통합형 프로세싱 시스템은 열적 화학적-기상-증착 프로세스를 이용하여 하나 또는 둘 이상의 기판 위에 갈륨 질화물(GaN) 층을 형성하기 위해서 그리고 복수-양자 우물(multi-quantum well; MQW) 층을 GaN 층 위에 형성하기 위해서 작동될 수 있는 금속유기화학기상증착(MOCVD) 챔버, 그리고 상기 GaN 층 위에 복수-양자 우물 층을 형성하기에 앞서서 MOCVD 챔버의 하나 또는 둘 이상의 내부 표면으로부터 하나 또는 둘 이상의 기판의 위에 GaN 층을 형성할 때 증착된 원하지 않는 증착 축적물(build-up)의 적어도 일부를 제거하기 위해서 할로겐 함유 가스를 상기 MOCVD 챔버 내로 유동시키도록 작동될 수 있고 상기 MOCVD 챔버에 커플링된 할로겐 함유 가스 공급원을 포함하고, 상기 할로겐 함유 가스는 불소, 염소, 브롬, 요오드, HI 가스, HCl 가스, HBr 가스, HF 가스, NF3, 및 이들의 조합을 포함하는 그룹으로부터 선택된다.
본원 발명의 전술한 특징들이 보다 구체적으로 이해될 수 있도록, 첨부 도면에 일부가 도시된 실시예들을 참조하여, 앞서서 간략하게 설명한 본원 발명에 대한 보다 구체적인 설명을 개진한다. 그러나, 첨부 도면들은 본원 발명의 통상적인 실시예만을 도시한 것이고 그에 따라 본원 발명의 범위를 한정하는 것으로 간주되지 않아야 할 것이고, 본원 발명은 다른 균등한 유효 실시예들도 포함할 것이다.
도 1a는 GaN-계 LED의 구조물을 도시한 개략도이다.
도 1b는 LED 구조물의 성장 후의 샤워헤드 증착물의 EDX 스펙트럼이다.
도 1c는 갈륨-인듐 상변태도이다.
도 2는 본원 명세서에 기재된 실시예에 따른 질화 화합물 반도체 소자를 제조하기 위한 프로세싱 시스템의 하나의 실시예를 도시한 평면도이다.
도 3은 본원 명세서에 기재된 실시예에 따른 질화 화합물 반도체 소자를 제조하기 위한 금속유기화학기상증착(MOCVD) 챔버를 도시한 단면도이다.
도 4 및 도 4a는 본원 명세서에 기재된 실시예에 따른 단일 챔버 질화 화합물 반도체 제조를 위해서 사용될 수 있는 프로세스의 흐름도를 나누어 도시한 도면이다.
도 5는 본원 명세서에 기재된 실시예에 따른 MOCVD 챔버를 위해서 사용될 수 있는 세정 프로세스의 흐름도이다.
도 6a는 종래 기술의 프로세스를 이용하여 증착된 In에 대한 기판 표면에 걸친 In 분포에 대한 X-레이 형광을 도시한 그래프이다.
도 6b는 본원 명세서에 기재된 실시예에 따라 증착된 인듐에 대한 기판 표면에 걸친 인듐 분포에 대한 X-레이 형광을 도시한 그래프이다.
본원 명세서에 기재된 실시예는 전체적으로 MOCVD 프로세스에 의해서 Ⅲ-Ⅴ 족 물질을 형성하기 위한 방법에 관한 것이다. 일 실시예에서, 인-시츄(in-situ; 현장형) 챔버 세정 프로세스는, 기판 상에 Ⅲ1-N 층을 증착한 후에 그리고 동일 챔버 내에서 기판 상에 Ⅲ2-N 층을 증착하기 전에, 실시된다. 일 실시예에서, 고온 GaN 증착 프로세스 후에 그리고 동일한 챔버 내에서의 InGaN 복수-양자 우물(MQW), AlGaN, 및 pGaN 성장에 앞서서, 인-시츄 챔버 세정 프로세스가 실시된다. 본원의 발명자들은 인-시츄 챔버 세정 프로세스 후에 GaN 층 증착과 동일한 챔버에서 복수-양자 우물(MQW) 증착을 수행하는 것은 가스 상 내의 인듐 고갈(depletion)을 배제한다는 것을 발견하였다. 결과적으로, 트리-메틸 인듐(TMI) 입력 유동이 또한 상당히 감소되고, 예를 들어, 챔버 세정 프로세스의 실시 후의 InGaN 복수-양자 우물(MQW) 성장에 대해서는 400-500 sccm의 TMI 유량이 이용되는데 대비하여, 챔버 세정 프로세스가 없이 실시되는 인-시츄 InGaN 복수-양자 우물(MQW) 성장에 대해서는 800-1200 sccm의 유량이 이용되었다. 또한, 챔버 세정 프로세스 후에, 인듐 증착이 기판에 걸쳐 보다 균일하게 되고, 이는 바람직한 광루미네선스(photoluminescence; PL) 파장 균일성을 초래한다. 일 실시예에서, 챔버 및 챔버 성분의 표면 상의 갈륨 코팅을 GaCl3로 변환하기 위해서, 염소 가스와 같은 할로겐 함유 세정 가스를 MOCVD 챔버 내로 유동시킴으로써 챔버 세정 프로세스를 실시하고, 이어서 GaCl3는 챔버로부터 제거될 것이다.
본원 명세서에서 설명된 실시예들이 실행되는 특별한 장치들에는 제한이 없지만, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.가 판매하는 클러스터 툴 시스템에서 그러한 실시예들을 실시하는 것이 특히 유리할 것이다. 추가적으로, 선형(linear) 시스템들을 포함하는 다른 제조업자들로부터 입수가 가능한 시스템들에서도 본원 명세서에서 설명된 실시예들이 유리하게 이용될 수 있을 것이다.
현재, MOCVD 기술은 Ⅲ족-질화물의 성장을 기초로 하는 LED 제조를 위해서 가장 널리 이용되는 기술이다. 하나의 통상적인 질화물-계 구조물이 도 1a에서 GaN-계 LED 구조물(100)로 도시되어 있다. 그러한 구조물이 기판(104)의 위쪽에 제조된다. 기판 크기는 지름이 50mm-100mm일 수 있고, 또는 그보다 클 수 있다. 기판이 사파이어, SiC, GaN, 실리콘, 석영, GaAs, AlN, 및 유리 중 하나 이상으로 이루어질 수 있을 것이다. n-타입 GaN 층(112)이 수반되는 도핑되지 않은 갈륨 질화물(u-GaN 층)이 선택적인 버퍼 층(109)(예를 들어, GaN) 및/또는 선택적인 시드(seed)/핵생성 층(108)(예를 들어, 기판 상에 형성된 알루미늄 질화물(AlN))의 위쪽에 증착된다. 일 실시예에서, 핵생성 층(108)이 AlxGa1 - xN을 포함하고 그리고 기판(104)은 AlN을 포함한다. 다른 실시예에서, 버퍼 층(109)은 GaN을 포함하고 그리고 AlxGa1 - xN을 포함하는 핵생성 층(108) 상에 증착된다. 소자의 활성 영역이 복수-양자-우물 층(116) 내에 구현되고, 도면에서는 InGaN 층을 포함하는 것으로 도시되어 있다. p-n 정크션(junction)은 위에 놓인 p-타입 AlGaN 층(120)으로, 콘택 층으로 작용하는 p-타입 GaN 층(124)으로 형성된다.
그러한 LED를 위한 통상적인 제조 프로세스는 프로세싱 챔버 내의 기판(104) 세정에 후속하는 MOCVD 프로세스를 이용할 수 있을 것이다. 프로세싱 챔버로 적절한 전구체들의 유동을 제공함으로써 그리고 증착 달성을 위한 열적 프로세스를 이용함으로써 MOCVD 증착이 달성된다. 예를 들어, Ga 및 질소 함유 전구체를 이용하여 GaN 층이 증착될 수 있고, 이때 아마도 N2, H2, 및 NH3 와 같은 유동성(fluent) 가스의 유동이 이용될 수 있을 것이다. Ga, N, 및 In 전구체를 이용하여 InGaN 층이 증착될 수 있을 것이며, 이때 아마도 유동성 가스의 유동이 이용될 수 있을 것이다. Ga, N 및 Al 전구체를 이용하여 AlGaN 층이 증착될 수 있을 것이고, 이때 아마도 유동성 가스의 유동이 이용될 수 있을 것이다. 도시된 구조물(100)에서, GaN 버퍼 층(108)은 약 500 Å의 두께를 가지고 그리고 약 550 ℃의 온도에서 증착될 것이다. u-GaN 및 n-GaN 층(112)의 후속 증착이 통상적으로 그보다 높은 온도, 예를 들어 일 실시예에서 약 1,050 ℃에서 실시된다. u-GaN 및 n-GaN 층(112)은 상대적으로 두껍다. 일 실시예에서, u-GaN 및 n-GaN 층은 증착되는데 약 140 분이 요구되는 약 4 ㎛ 정도의(on the order of) 두께를 가진다. 일 실시예에서, InGaN 복수-양자-우물(MQW) 층(116)이 약 750 Å의 두께를 가질 수 있고, 이는 약 750 ℃의 온도에서 약 40 분의 기간에 걸쳐 증착될 것이다. 일 실시예에서, p-AlGaN 층(120)은 약 200 Å의 두께를 가질 수 있고, 이는 약 950 ℃ 내지 약 1,020 ℃의 온도에서 약 5 분내에 증착될 것이다. 일 실시예에서, 구조물을 완성시키는 콘택 층(124)의 두께가 약 0.4 ㎛일 수 있고, 그리고 약 1,050 ℃의 온도에서 약 25분 동안 증착될 것이다. 추가적으로, 실리콘(Si) 또는 마그네슘(Mg)과 같은 도펀트들이 필름에 부가될 수 있을 것이다. 필름은 증착 프로세스 동안에 소량의 도펀트 가스를 부가함으로써 도핑될 것이다. 예를 들어, 실리콘 도핑을 위해서, 실란(SiH4) 또는 디실란(Si2H6)가스가 사용될 수 있고, 그리고 마그네슘 도핑을 위해서 도펀트 가스가 비스(시클로펜타디에닐)마그네슘(Cp2Mg 또는 (C5H5)2Mg)을 포함할 수 있을 것이다.
전술한 단계들이 단일 MOCVD 챔버 내에서 실시될 때, 고온에서의 GaN의 성장은 MOCVD 챔버 내의, 특히 MOCVD 챔버의 샤워헤드 또는 가스 분배 조립체를 포함하는 챔버 성분들 상에서의 Ga 금속 및 GaN 의 심각한 기생(parasitic) 증착을 초래한다. 도 1b에 도시된 바와 같이, 이러한 기생 증착은 일반적으로 갈륨이 풍부(rich; 부화)하다. 갈륨 부화 증착은 문제를 유발하는데, 이는 트랩(trap)으로 작용하는 갈륨 자체의 특성 때문이며, 후속하는 LED의 단일 층들의 증착에 이용되는 가스 상 전구체들, 예를 들어, 트리-메틸 인듐(TMI), 트리-메틸 알루미늄(TMA), 실란(SiH4) 및 디실란(Si2H6)과 같은 n-타입 도펀트, 그리고 Cp2Mg와 같은 p-타입 도펀트와 반응한다. InGaN 복수-양자-우물(MQW)이 가장 영향을 받는데, 이는 PL 파장 이탈(drift), PL 세기 감소, 및 전체적인 소자 열화(劣化)를 초래하는 MOCVD 챔버 내의 적합한(favorable) 조건에서의, 도 1에 도시된, Ga-In 공정(eutectic) 형성(formation) 때문이다.
도 2는 프로세싱 시스템(200)의 일 실시예를 도시한 평면도이며, 상기 시스템은 본원 명세서에서 설명된 실시예들에 따른 질화 화합물 반도체 소자를 제조하기 위한 단일 MOCVD 챔버(202)를 포함한다. 일 실시예에서, 프로세싱 시스템(200)은 대기에 대해서 폐쇄되어 있다. 비록 하나의 MOCVD 챔버(202)가 도시되어 있지만, 하나 보다 많은 MOCVD 챔버(202) 또는 부가적으로, 하나 또는 둘 이상의 MOCVD 챔버(202)와 하나 또는 둘 이상의 하이브리드 기상 에피텍셜(HVPE) 챔버의 조합체가 또한 이송 챔버(206)와 커플링될 수 있을 것이다. 프로세싱 시스템(200)은 기판 핸들러(도시하지 않음)를 수용하는 이송 챔버(206), 상기 이송 챔버(206)와 커플링된 MOCVD 챔버(202), 상기 이송 챔버(206)와 커플링된 로드록(loadlock) 챔버(208), 상기 이송 챔버(206)와 커플링되고 기판을 저장하기 위한 배치(batch) 로드록 챔버(209), 및 상기 로드록 챔버(208)와 커플링되고 기판을 적재(loading)하기 위한 로드 스테이션(210)을 포함한다. 이송 챔버(206)는 기판을 픽업하고 상기 로드록 챔버(208), 배치 로드록 챔버(209), 및 MOCVD 챔버(202) 사이에서 이송하도록 작동되는 로봇 조립체(도시하지 않음)를 포함한다. 또한, 클러스터 툴이 도시되어 있지만, 본원 명세서에서 설명되는 실시예는 선형 트랙 시스템을 이용하여 실시될 수도 있을 것이다.
이송 챔버(206)가 프로세싱 동안에 진공 하에서 유지될 것이다. 이송 챔버 진공 레벨은 MOCVD 챔버(202)의 진공 레벨에 맞춰서 조정될 것이다. 예를 들어, 이송 챔버(206)로부터 MOCVD 챔버(202)로 (또는 그 반대로) 기판을 이송할 때, 이송 챔버(206) 및 MOCVD 챔버(202)가 동일한 진공 레벨에서 유지될 것이다. 이어서, 기판을 이송 챔버(206)로부터 로드록 챔버(208)로 또는 배치 로드록 챔버(209)로 (또는 그 반대로) 이송할 때, 이송 챔버 진공 레벨은 로드록 챔버(208) 또는 배치 로드록 챔버(209)의 진공 레벨과 같아질 것이며, 이때 로드록 챔버(208) 또는 배치 로드록 챔버(209) 및 MOCVD 챔버(202)의 진공 레벨이 서로 상이할 수 있을 것이다. 그에 따라, 이송 챔버의 진공 레벨이 조정될 수 있을 것이다. 특정 실시예에서, 기판이 고순도 비활성 가스 분위기, 예를 들어, 고순도 N2 분위기 내에서 이송된다. 일 실시예에서, 기판은 90% 보다 높은 N2 분위기에서 이송된다. 특정 실시예에서, 기판은 고순도 NH3 분위기에서 이송된다. 일 실시예에서, 기판이 90% 보다 높은 NH3 분위기에서 이송된다. 특정 실시예에서, 기판은 고순도 H2 분위기에서 이송된다. 일 실시예에서, 기판은 90% 보다 높은 H2 분위기에서 이송된다.
프로세싱 시스템(200)에서, 로봇 조립체는 기판이 적재된 기판 캐리어 플레이트(212)를 증착 실시를 위한 단일 MOCVD 챔버(202) 내로 이송한다. 일 실시예에서, 기판 캐리어 플레이트(212)가 200mm-750mm의 범위를 가질 수 있을 것이다. 기판 캐리어는, SiC 또는 SiC-코팅형 그라파이트를 포함하는 여러 가지 물질로부터 형성될 수 있을 것이다. 일 실시예에서, 캐리어 플레이트(212)가 실리콘 카바이드 물질을 포함한다. 일 실시예에서, 캐리어 플레이트(212)가 약 1,000 cm2 또는 그 초과, 바람직하게 2,000 cm2 또는 그 초과, 그리고 보다 바람직하게 4,000 cm2 또는 그 초과의 표면적을 가진다. 증착 단계들의 전부 또는 일부가 완료된 후에, 캐리어 플레이트(212)가 MOCVD 챔버(202)로부터 로드록 챔버(208)로 다시 이송된다. 일 실시예에서, 이어서, 캐리어 플레이트(212)가 로드 스테이션(210)을 향해서 방출된다(release). 다른 실시예에서, MOCVD 챔버(202) 내에서의 추가적인 프로세싱에 앞서서, 캐리어 플레이트(212)가 로드록 챔버(208) 또는 배치 로드록 챔버(209) 내에 저장될 수 있다. 하나의 예시적인 실시예가 2008년 1월 31일자로 출원되고 US 2009-0194026로서 공개되고 발명의 명칭이 PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES인 미국 특허출원 12/023,572에 기재되어 있으며, 이러한 미국 특허출원은 전체가 본원에서 참조되어 포함된다.
시스템 제어부(260)는 프로세싱 시스템(200)의 작동 및 작업 파라미터를 제어한다. 시스템 제어부(260)는 컴퓨터 프로세서 및 상기 프로세서에 커플링된 컴퓨터-판독형 메모리를 포함한다. 프로세서는 메모리 내에 저장된 컴퓨터 프로그램과 같은 시스템 제어 소프트웨어를 실행한다. 프로세싱 시스템 및 그 이용 방법의 측면들(aspects)이 2006년 4월 14일자로 출원되고 US 2007-024,516로서 공개되고 발명의 명칭이 EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES인 미국 특허출원 11/404,516에 기재되어 있으며, 이러한 미국 특허출원은 전체가 본원에서 참조되어 포함된다.
도 3은 본원 명세서에서 설명되는 실시예에 따른 MOCVD 챔버의 단면을 도시한다. MOCVD 챔버(202)는 챔버 본체(302), 전구체 가스, 캐리어 가스, 세정 가스 및/또는 퍼지 가스를 전달하기 위한 화학물질 전달 모듈(303), 플라즈마 공급원을 구비하는 원격 플라즈마 시스템(326), 서셉터 또는 기판 지지부(314), 및 진공 시스템(312)을 포함한다. 챔버(202)는 프로세싱 부피(308)를 둘러싸는 챔버 본체(302)를 포함한다. 샤워헤드 조립체(304)는 프로세싱 부피(308)의 일 단부에 배치되고, 그리고 캐리어 플레이트(212)는 프로세싱 부피(308)의 타 단부에 배치된다. 캐리어 플레이트(212)가 기판 지지부(314) 상에 배치될 수 있다. 기판 지지부(314)는, 화살표(315)로 도시된 바와 같은, 수직 방향으로 이동할 수 있는 z-승강 성능을 가진다. 일 실시예에서, z-승강 성능은 기판 지지부를 샤워헤드 조립체(304)에 근접하게 위쪽으로 또는 샤워헤드 조립체(304)로부터 멀어지는 아래쪽으로 이동시키기 위해서 이용될 수 있을 것이다. 특정 실시예에서, 기판 지지부(314)는 기판 지지부(314)의 온도를 제어하기 위한 그리고 결과적으로 캐리어 플레이트(212) 및 상기 기판 지지부(314) 상에 위치된 기판(340)의 온도를 제어하기 위한 가열 요소, 예를 들어, 저항형 가열 요소(도시하지 않음)를 포함한다.
일 실시예에서, 샤워헤드 조립체(304)는 제 1 전구체 또는 제 1 프로세스 가스 혼합물을 프로세싱 부피(308)로 전달하기 위해서 화학물질 전달 모듈(303)과 커플링된 제 1 프로세싱 가스 채널(304A), 제 2 전구체 또는 제 2 프로세스 가스 혼합물을 프로세싱 부피(308)로 전달하기 위해서 화학물질 전달 모듈(303)과 커플링된 제 2 프로세싱 가스 채널(304B), 그리고 샤워헤드 조립체(304)의 온도 조정을 돕기 위해서 열 교환 유체를 샤워헤드 조립체(304)로 유동시키기 위해서 열 교환 시스템(370)과 커플링된 온도 제어 채널(304C)을 포함한다. 적합한 열 교환 유체에는 물, 수성(water-based) 에틸렌 글리콜 혼합물, 퍼플루오로폴리에테르(예를 들어, Galden® 유체), 유성 열 전달 유체 또는 유사한 유체가 포함되나, 이러한 것으로 한정되는 것은 아니다. 일 실시예에서, 프로세싱 중에 제 1 전구체 또는 제 1 프로세스 가스 혼합물은 샤워헤드 조립체(304) 내의 제 1 프로세싱 가스 채널(304A)과 커플링된 가스 도관(346)을 통해서 프로세싱 부피(308)로 전달될 수 있고 그리고 제 2 전구체 또는 제 2 프로세스 가스 혼합물은 제 2 프로세싱 가스 채널(304B)과 커플링된 가스 도관(345)을 통해서 프로세싱 부피(308)로 전달될 수 있다. 원격 플라즈마 공급원이 이용되는 실시예들에서, 플라즈마가 도관(304D)을 통해서 프로세싱 부피(308)로 전달될 수 있을 것이다. 프로세스 가스 혼합물 또는 전구체가 하나 또는 둘 이상의 전구체 가스 또는 프로세스 가스뿐만 아니라 상기 전구체 가스와 혼합될 수 있는 캐리어 가스 및 도펀트 가스를 포함할 수 있다는 것을 주지하여야 한다. 본원 명세서에서 설명된 실시예들을 실시하도록 구성될 수 있는 예시적인 샤워헤드가 2007년 10월 16일자로 출원되고 US 2009-0098276로서 공개되었고 발명의 명칭이 MULTI-GAS STRAIGHT CHANNEL SHOWERHEAD 인 미국 특허출원 11/873,132, 2007년 10월 16일자로 출원되고 US 2009-0095222로서 공개되었고 발명의 명칭이 MULTI-GAS SPIRAL CHANNEL SHOWERHEAD 인 미국 특허출원 11/873,141, 그리고 2007년 10월 16일자로 출원되고 US 2009-0095221로서 공개되었고 발명의 명칭이 MULTI-GAS CONCENTRIC INJECTION SHOWERHEAD 인 미국 특허출원 11/873,170 에 기재되어 있으며, 이들 특허 모두는 전체가 본원에서 참조되어 포함된다.
하부 돔(319)이 하부 부피(310)의 일 단부에 배치되고, 그리고 캐리어 플레이트(212)가 하부 부피(310)의 타 단부에 배치된다. 캐리어 플레이트(212)가 프로세스 위치에 도시되어 있으나, 예를 들어, 기판(340)이 적재 또는 하역될 수 있는 하부 위치로 이동될 수 있을 것이다. 하부 부피(310) 내에서의 증착 발생 방지를 돕기 위해서 그리고 또한 챔버(202)로부터 배기 포트(309)로 가스들을 직접적으로 배기하는 것을 돕기 위해서, 배기 링(320)이 캐리어 플레이트(212)의 둘레 주위로 배치될 수 있다. 기판(340)의 복사 가열을 위해서 빛이 통과할 수 있도록 하기 위해서, 하부 돔(319)이 투명한 물질, 예를 들어, 고순도 석영으로 제조될 수 있을 것이다. 복사 가열은 하부 돔(319)의 아래쪽에 배치된 복수의 내측 램프(321A) 및 외측 램프(321B)에 의해서 제공될 수 있을 것이고, 그리고 챔버(202)를 상기 내측 램프(321A) 및 외측 램프(321B)에 의해서 제공되는 복사 에너지에 노출시키는 것을 제어하는 것을 돕기 위해서 반사부(366)가 이용될 수 있을 것이다. 램프들의 추가적인 링들이 또한 기판(340)의 보다 미세한 온도 제어를 위해서 이용될 수 있을 것이다.
특정 실시예에서, 퍼지 가스(예를 들어, 질소 함유 가스)가 챔버 본체(302)의 바닥에 근접하여 그리고 캐리어 플레이트(212)의 아래쪽에 배치된 유입구 포트 또는 튜브(도시하지 않음)로부터 및/또는 샤워헤드 조립체(304)로부터 챔버(202) 내로 전달될 수 있을 것이다. 퍼지 가스는 챔버(202)의 하부 부피(310)로 유입되고 그리고 캐리어 플레이트(212) 및 배기 링(320)을 지나서 위쪽으로 그리고 환형 배기 채널(305) 주위로 배치된 복수의 배기 포트(309) 내로 유동한다. 배기 도관(306)은 환형 배기 채널(305)을 진공 펌프(307)를 포함하는 진공 시스템(312)으로 연결한다. 배기 가스가 환형 배기 채널로부터 인출되는(drawn) 속도(rate)를 제어하는 밸브 시스템을 이용하여 챔버(202) 압력이 제어될 수 있을 것이다. MOCVD 챔버의 다른 측면들은 2008년 1월 31일자로 12/023,520로 출원되고 US 2009-0194024로 공개되었으며 발명의 명칭이 CVD APPARATUS인 미국 특허출원에 개시되어 있으며, 그러한 특허출원의 전체가 본원에서 참조되어 포함된다.
특정 실시예에서, 세정 가스(예를 들어, 염소 가스와 같은 할로겐 함유 가스)가 샤워헤드 조립체(304)로부터 및/또는 프로세싱 부피(308)에 근접하여 배치된 유입구 포트 또는 튜브(도시하지 않음)로부터 챔버(202) 내로 전달될 수 있을 것이다. 기판 지지부(314) 및 샤워헤드 조립체(304)와 같은 챔버 성분으로부터 증착물을 제거하기 위해서 세정 가스가 챔버(202)의 프로세싱 부피(308)로 유입되고 그리고 환형 배기 채널(305) 주위로 배치된 복수의 배기 포트(309)를 통해서 챔버를 빠져나간다.
화학물질 전달 모듈(303)은 MOCVD 챔버(202)로 화학물질을 공급한다. 반응 가스, 캐리어 가스, 퍼지 가스 및 세정 가스가 화학물질 전달 시스템으로부터 공급 라인을 통해서 그리고 챔버(202) 내로 공급될 것이다. 일 실시예에서, 가스들이 공급 라인을 통해서 그리고 가스 혼합 박스 내로 공급되고, 그러한 가스 혼합 박스에서 가스들이 함께 혼합되고 그리고 샤워헤드 조립체(304)로 전달된다. 일반적으로, 각 가스에 대한 공급라인은 관련 라인 내로의 가스의 유동을 자동적으로 또는 수동적으로 차단하기 위해서 이용될 수 있는 차단 밸브, 그리고 공급 라인을 통한 가스 또는 액체의 유동을 측정하는 질량 유동 제어부 또는 다른 타입의 제어부를 포함한다. 각 가스에 대한 공급 라인은 또한 전구체 농도를 모니터링하고 실시간 피드백을 제공하기 위한 농도 모니터를 포함할 수 있고, 배압(backpressure) 조정기가 전구체 가스 농도를 제어하기 위해서 포함될 수 있으며, 신속하고 정확한 밸브 스위칭 성능을 위해서 밸브 스위칭 제어부가 이용될 수 있고, 가스 라인 내의 수분 센서가 수분 레벨을 측정하고 그리고 시스템 소프트웨어로 피드백을 제공할 수 있으며, 그러한 시스템 소프트웨어는 다시 작업자에게 경고/경보를 제공할 수 있을 것이다. 또한 전구체들 및 세정 가스들이 공급 라인 내에서 응축되는 것을 방지하기 위해서 가스 라인들이 가열될 수 있을 것이다. 사용되는 프로세스에 따라서, 공급원의 일부가 가스 대신에 액체일 수 있을 것이다. 액체 공급원이 이용될 때, 화학물질 전달 모듈이 액체 주입 시스템 또는 액체를 증기화하기 위한 다른 적합한 기구(예를 들어, 기포발생장치; bubbler)를 포함한다. 이어서, 소위 당업자가 이해할 수 있는 바와 같이, 액체로부터의 증기가 일반적으로 캐리어 가스와 혼합된다.
원격 마이크로파 플라즈마 시스템(326)은, 챔버 세정 또는 프로세스 기판으로부터의 잔류물 에칭과 같은, 선택된 용도를 위한 플라즈마를 생산할 수 있다. 유입구 라인을 통해서 공급된 전구체로부터 원격 플라즈마 시스템(326) 내에서 생산된 플라즈마 종(species)이 도관(304D)을 경유하여 샤워헤드 조립체(304)를 통해서 MOCVD 챔버(202)로 분산되도록 도관을 통해서 전달된다. 세정 용도를 위한 전구체 가스가 염소 함유 가스, 불소 함유 가스, 요오드 함유 가스, 브롬 함유 가스, 질소 함유 가스 및/또는 기타 반응 요소를 포함할 수 있다. 원격 마이크로파 플라즈마 시스템(326)은 또한 층 증착 프로세스 동안에 원격 마이크로파 플라즈마 시스템(326)으로 적절한 증착 전구체 가스를 유동시키면서 CVD 층을 증착하도록 구성될 수 있다. 일 실시예에서, MOCVD 챔버(202)의 내부를 세정하기 위해서, 원격 마이크로파 플라즈마 시스템(326)을 이용하여 활성 염소 종을 프로세싱 부피(308)로 전달한다.
MOCVD 챔버(202) 및 배기 통로와 같은 주변 구조물들의 벽의 온도는 챔버의 벽들 내의 채널(도시하지 않음)을 통해서 열-교환 액체를 순환시킴으로써 추가적으로 제어될 수 있을 것이다. 열-교환 액체를 이용하여 원하는 효과에 따라서 챔버 벽을 가열 또는 냉각할 수 있을 것이다. 예를 들어, 고온 액체는 열적 증착 프로세스 중에 균일한 열적 구배(gradient)를 유지하는데 도움이 될 수 있을 것인 반면, 저온 유체는 인-시츄 플라즈마 프로세스 중에 시스템으로부터 열을 제거하기 위해서 또는 챔버의 벽에 증착 생성물이 형성되는 것을 제한하기 위해서 이용될 수 있을 것이다. "열 교환기"에 의한 가열로서 지칭되는 이러한 가열은 바람직하지 못한 반응 생성물의 응축을 바람직하게 감소 또는 제거하고 그리고 프로세스 가스의 휘발성 생성물 및 기타 오염물질의 제거를 개선하고, 상기 프로세스 가스의 휘발성 생성물 및 기타 오염물질은 저온 진공 통로의 벽에 응축되고 그리고 가스 유동이 없는 기간 동안 프로세싱 챔버 내로 다시 이동되는 경우에 프로세스를 오염시킬 것이다.
분할 프로세스:
도 4 및 4a는 본원 명세서에 기재된 실시예에 따라 단일 챔버 질화 화합물 반도체 형성에 이용될 수 있는 프로세스(400)의 흐름도를 나누어 도시한 도면이다. 이러한 프로세스는 기판을 기판 프로세싱 챔버 내로 이송함으로써 블록(404)에서 시작된다. "기판"은 "하나 또는 둘 이상의 기판"을 포함한다는 것을 이해할 수 있을 것이다. 일 실시예에서, 기판 프로세싱 챔버는 MOCVD 챔버(202)와 유사하다. 질화물 구조물의 증착을 위해서, 기판이 사파이어를 포함할 수 있으나, 이용될 수 있는 다른 물질에는 SiC, Si, 스피넬, 리튬 갤레이트(gallate), ZnO, 및 기타의 것이 포함될 수 있을 것이다. 기판이 블록(408)에서 세정되고, 그 후에 질화물 층의 성장에 적합한 프로세스 파라미터가 블록(412)에서 설정될 수 있을 것이다. 질화물 층의 열적 증착에 적합한 프로세싱 챔버 내의 분위기를 규정하기 위해서, 그러한 프로세스 파라미터가 온도, 압력 등을 포함할 수 있을 것이다. 블록(420)에서 Ⅲ1-N 구조물을 기판 상에 증착하기 위해서 블록(416)에서 전구체의 유동을 기판 상으로 제공한다. 전구체는 질소 공급원(source) 및 Ga와 같은 제 1의 Ⅲ-족 원소를 위한 공급원을 포함한다. 예를 들어, 적절한 질소 전구체는 NH3 를 포함하고 그리고 적합한 Ga 전구체는 트리메틸 갈륨("TMG") 및 트리에틸 갈륨(TEG)을 포함한다. 제 1의 Ⅲ-족 원소가 종종 Al 및 Ga와 같은 복수의 다른(distinct) Ⅲ-족 원소를 포함할 수 있고, 그러한 경우에 적절한 Al 전구체는 트리메틸 알루미늄("TMA")일 수 있을 것이다. 다른 예에서, 복수의 다른 Ⅲ-족 원소에는 In 및 Ga가 포함되고, 그러한 경우에 적합한 In 전구체는 트리메틸 인듐("TMI")이 될 수 있을 것이다. 아르곤, 질소, 수소, 헬륨, 네온, 크세논, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 캐리어 가스의 유동이 또한 포함될 수 있을 것이다.
블록(420)에서의 Ⅲ1-N 구조물의 증착 후에, 전구체 유동이 블록(424)에서 종료된다. 블록(426)에서 기판이 대기중으로 노출되지 않고 프로세싱 챔버로부터 제거된다. 진공을 손상시키지 않고 프로세싱 챔버로부터 기판을 제거하는 것은 증착된 Ⅲ1-N 구조물이 산소 및 탄소에 노출되는 것을 방지하고, 상기 산소 및 탄소는 전기적으로 활성인 도펀트/불순물로서 작용할 것이다. 블록(428)에서, 세정 프로세스가 실시되고, 그러한 세정 프로세스에서 갈륨 함유 증착물과 같은 오염물질을 챔버 및 챔버의 성분으로부터 제거하기 위해서 프로세싱 챔버의 내부가 제 1 세정 가스에 노출된다. 일 실시예에서, 세정 프로세스가 챔버를 에칭제(etchant) 가스에 노출시키는 단계를 포함할 수 있고, 그러한 노출은 챔버 벽 및 표면으로부터 증착물을 열적으로 에칭한다. 선택적으로, 프로세싱 챔버가 세정 프로세스 동안에 플라즈마에 노출될 수 있을 것이다. 세정 프로세스를 위한 세정 가스가 불소 가스(F2), 염소 가스(Cl2), 브롬 가스(Br2), 요오드 가스(I2), HI 가스, HCl 가스, HBr 가스, HF 가스, NF3, 및/또는 기타 반응 원소와 같은 할로겐 함유 가스를 포함할 수 있다. 아르곤, 질소, 수소, 헬륨, 네온, 크세논, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 캐리어 가스의 유동이 또한 포함될 수 있을 것이다. 하나의 실시예에서, 세정 프로세스는 챔버를 플라즈마에 노출시키는 것을 포함한다. 일 실시예에서, 플라즈마가 원격 플라즈마 발생기에 의해서 발생된다. 다른 실시예에서, 플라즈마가 인-시츄 방식으로(현장에서) 생산된다.
세정 후에, 블록(430)에서 기판이 프로세싱 챔버 내로 다시 이송되고, 그리고 후속하는 증착 단계들이 동일한 프로세싱 챔버 내에서 실행된다. 블록(432)에서 Ⅲ2-N 층이 기판 상의 Ⅲ1-N 층 위에 증착된다.
2-N 층의 증착은 그러한 증착을 위한 온도, 압력 등과 같은 적절한 프로세싱 파라미터들을 설정함으로써 실행된다. 일부 실시예에서, Ⅲ2-N 구조물은 Ⅲ1-N 층에 포함되지 않는 Ⅲ-족 원소를 포함하나, Ⅲ1-N 층 및 Ⅲ2-N 층이 추가적으로 공통되는 Ⅲ-족 원소를 포함할 수 있을 것이다. 예를 들어, Ⅲ1-N 층이 GaN인 경우에, Ⅲ2-N 층이 AlGaN 층 또는 InGaN 층일 수 있을 것이다. 이들은 Ⅲ2-N 층이 3상(ternary) 조성을 가지는 예인 반면, 이는 필수적인 것이 아니고 보다 일반적으로 Ⅲ2-N 층이 4상 AlInGaN 층과 같은 다른 조성물을 포함할 수 있을 것이다. 유사하게, Ⅲ1-N 층이 AlGaN 층인 실시예에서, Ⅲ2-N 층이 AlInGaN 층 상의 InGaN 층일 수 있을 것이다. Ⅲ2-N 층의 증착을 위한 적합한 전구체는 Ⅲ1-N 층에 대해서 이용되는 전구체와 유사할 것이고, 즉 NH3 가 적합한 질소 전구체가 될 것이고, TMG 는 적합한 갈륨 전구체가 될 것이며, TEG는 적합한 갈륨 전구체가 될 것이고, TMA는 적합한 알루미늄 전구체가 될 것이고, 그리고 TMI는 적합한 인듐 전구체가 될 것이다. 아르곤, 질소, 수소, 헬륨, 네온, 크세논, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 캐리어 가스의 유동이 또한 포함될 수 있을 것이다.
블록(432)에서 Ⅲ2-N 층을 증착한 후에, 전구체 유동이 블록(438)에서 종료된다. 선택적으로, 블록(440)에서 하나 또는 둘 이상의 기판을 대기중으로 노출시키지 않고, 그러한 하나 또는 둘 이상의 기판을 프로세싱 챔버로부터 제거한다. 진공을 손상시키지 않고 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거하는 것은 증착된 Ⅲ2-N 구조물이 산소 또는 탄소에 노출되는 것을 방지하고, 그러한 산소 또는 탄소는 전기적 활성 도펀트/불순물로서 역할할 것이다. 블록(442)에서, 선택적인 세정 프로세스가 실행될 수 있을 것이며, 그러한 세정 프로세스에서 프로세싱 챔버의 내부를 제 2 세정 가스에 노출시켜 Ⅲ-족 함유 증착물과 같은 불순물을 챔버 및 챔버 성분으로부터 제거할 수 있을 것이다.
블록(444)에서, 기판이 진공하에서 기판 프로세싱 챔버 내로 이송된다. 블록(444)에서 하나 또는 둘 이상의 기판이 프로세싱 챔버 내로 이송된 후에, 후속 증착 단계들이 프로세싱 챔버 내에서 실행된다.
블록(446)에서, Ⅲ3-N 층의 성장에 적합한 프로세스 파라미터가 설정될 수 있다. Ⅲ3-N 층의 증착은 그러한 증착을 위한 온도, 압력 등과 같은 적절한 프로세싱 파라미터들을 설정함으로써 실행된다. 일부 실시예에서, Ⅲ3-N 구조물은 Ⅲ1-N 층 또는 Ⅲ2-N 층에 포함되지 않는 Ⅲ-족 원소를 포함하나, Ⅲ1-N 층, Ⅲ2-N 층 및 Ⅲ3-N 층이 추가적으로 공통되는 Ⅲ-족 원소를 포함할 수 있을 것이다. 예를 들어, Ⅲ1-N 층이 GaN인 경우에, Ⅲ2-N 층이 InGaN 층일 수 있고, 그리고 Ⅲ3-N 층이 AlGaN 층일 수 있을 것이다. 이들은 Ⅲ3-N 층이 3상 조성을 가지는 예인 반면, 이는 필수적인 것이 아니고 보다 일반적으로 Ⅲ3-N 층이 4상 AlInGaN 층과 같은 다른 조성물을 포함할 수 있을 것이다. Ⅲ3-N 층의 증착을 위한 적합한 전구체는 Ⅲ1-N 층 및 Ⅲ2-N 층에 대해서 이용되는 전구체와 유사할 것이고, 즉 NH3 가 적합한 질소 전구체가 될 것이고, TMG 는 적합한 갈륨 전구체가 될 것이며, TEG는 적합한 갈륨 전구체가 될 것이고, TMA는 적합한 알루미늄 전구체가 될 것이고, 그리고 TMI는 적합한 인듐 전구체가 될 것이다. 아르곤, 질소, 수소, 헬륨, 네온, 크세논, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 캐리어 가스의 유동이 또한 포함될 수 있을 것이다.
선택적으로, Ⅲ3-N 층 구조물의 증착 후에, Ⅲ4-N 층의 성장에 적합한 프로세스 파라미터가 설정될 수 있다. 그러한 프로세스 파라미터는 질화물 층의 열적 증착에 적합한 프로세싱 챔버 내의 분위기를 형성하기 위한 온도, 압력 등을 포함할 것이다. 기판 상에 Ⅲ4-N 구조물을 증착하기 위해서 Ⅲ4 및 질소 전구체의 유동이 제공된다. 전구체는 질소 공급원 및 Ga와 같은 제 4의 Ⅲ-족 원소를 위한 공급원을 포함한다. 예를 들어, 적합한 질소 전구체는 NH3를 포함하고, 적합한 Ga 전구체는 트리메틸 갈륨("TMG") 및 트리에틸 갈륨(TEG)을 포함한다. 아르곤, 질소, 수소, 헬륨, 네온, 크세논, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 캐리어 가스의 유동이 또한 포함될 수 있을 것이다.
블록(448)에서, 전구체 유동이 종료된다. 블록(450)에서 기판을 대기중으로 노출시키지 않고 기판이 프로세싱 챔버로부터 제거된다.
블록(452)에서, 선택적인 증착-후(post-deposition) 챔버 세정이 실행되고, 그러한 챔버 세정에서, 블록(454)에서의 부가적인 기판의 프로세싱에 앞서서, 프로세싱 챔버의 내부를 제 3 세정 가스에 노출시켜 챔버 벽 및 챔버 성분으로부터 오염물질 및 Ⅲ-족 함유 증착물을 제거한다.
1-N, Ⅲ2-N, Ⅲ3-N, 및 Ⅲ4-N 층의 증착을 위해서 이용되는 프로세싱 조건들은 특정 용도에 따라서 달라질 것이다. 이하의 표는, 전술한 장치들을 이용하여 질화물 반도체 구조물을 성장시키는데 있어서 일반적으로 적합한, 예시적인 프로세싱 조건 및 전구체 유량을 제공한다.
파라미터
온도(℃) 500-1,200
압력(torr) 0.001-760
TMG 유동(sccm) 0-50
TEG 유동(sccm) 0-50
TMA 유동(sccm) 0-50
TMI 유동(sccm) 0-50
PH3 유동(sccm) 0-1,000
AsH3 유동(sccm) 0-1,000
NH3 유동(sccm) 100-100,000
N2 유동(sccm) 0-100,000
H2 유동(sccm) 0-100,000
앞선 설명으로부터 명백하게 이해할 수 있는 바와 같이, 프로세스는 임의의 주어진 프로세스에 모든 전구체의 유동을 이용하지 않을 것이다. 예를 들어, 일 실시예에서 GaN 의 성장은 TMG, NH3, 및 N2의 유동을 이용할 것이고; 다른 실시예에서 AlGaN 의 성장은 TMG, TMA, NH3, 및 H2를 이용할 것이고, 이때 TMA와 TMG의 상대적인 유량은 증착된 층에서 원하는 상대적인 Al:Ga 화학양론적인 양(stoichiometry)을 제공하도록 선택되고; 그리고 또 다른 실시예에서 InGaN 의 성장은 TMG, TMI, NH3, N2, 및 H2의 유동을 이용할 것이고, 이때 TMI와 TMG의 상대적인 유량은 증착된 층에서 원하는 상대적인 In:Ga 화학양론적인 양을 제공하도록 선택된다.
예:
이하의 예는 프로세싱 시스템(200)과 관련하여 설명된 질화 화합물 구조물의 제조를 위해서 전체적인 프로세스가 어떻게 이용될 수 있는지를 설명하기 위해서 제공된 것이다. 이러한 예는 LED 구조물을 참조한 것이고, 이때 LED 구조물의 제조는 하나의 MOCVD 챔버(202)를 가지는 프로세싱 시스템(200)을 이용하여 실행된다. 일 실시예에서, LED 구조물은 구조물(100)과 유사하다. 세정 및 초기 GaN 층의 증착 그리고 나머지 InGaN, AlGaN, 및 GaN 콘택 층의 증착이 MOCVD 챔버(202) 내에서 실행될 것이다.
프로세스는 MOCVD 챔버(202) 내로 이송되는 하나 또는 둘 이상의 기판(340)을 포함하는 캐리어 플레이트(212)로 시작된다. MOCVD 챔버(202)는 GaN 층의 신속한 증착을 제공하도록 구성된다. MOCVD 전구체 가스들을 이용하여 MOCVD 챔버(202) 내에서 전처리 프로세스가 진행되고 및/또는 버퍼 층이 기판 상에서 성장된다. 이에 후속하여 두꺼운 u-GaN/n-GaN 층이 성장되고, 이러한 예에서 그러한 성장은 MOCVD 전구체 가스들을 이용하여 실행된다.
u-GaN 및 n-GaN 층의 증착 후에, 진공의 손상 없이, 캐리어 플레이트(212)가 MOCVD 챔버(202)의 외부로 그리고 로드록 챔버(208) 내로 또는 배치 로드록 챔버(209) 내로 이송되며, 이때 그러한 이송은 이송 챔버(206)를 통해서 고순도 N2 분위기에서 이루어진다. 캐리어 플레이트(212)의 제거 후에, MOCVD 챔버(202)가 염소 가스로 세정된다. 일 실시예에서, 빈 캐리어 플레이트(212)가 챔버의 세정에 앞서서 MOCVD 챔버(202) 내로 삽입되고 그리고 MOCVD 챔버(202)의 세정 동안에 세정 가스에 노출된다. MOCVD 챔버(202)가 세정된 후에, 캐리어 플레이트(212)가 MOCVD 챔버(202) 내로 재-삽입되고 그리고 InGaN 복수-양자 우물(MQW) 활성 층이 u-GaN 및 n-GaN 층 상에서 성장된다.
선택적으로, 일 실시예에서, 복수-양자 우물(MQW) 활성 층이 성장된 후에, 캐리어 플레이트(212)가 MOCVD 챔버(202)의 외부로 그리고 로드록 챔버(208) 또는 배치 로드록 챔버(209) 내로 진공을 손상시키지 않고 이송되며, 이때 그러한 이송은 이송 챔버(206)를 통해서 고순도 N2 분위기에서 이루어진다. 캐리어 플레이트(212)의 제거 후에, MOCVD 챔버(202)가 염소 가스로 세정된다.
MOCVD 챔버(202)가 세정된 후에, 캐리어 플레이트(212)가 MOCVD 챔버(202) 내로 재-삽입되고 그리고 p-AlGaN 층 및 p-GaN 층이 InGaN 복수-양자 우물 활성 층 상에 증착된다.
이어서, 완성된 구조물이 MOCVD 챔버(202)의 외부로 이송되며, 그에 따라 MOCVD 챔버(202)는 프로세싱되지 않은 기판을 가지는 추가적인 캐리어 플레이트(212)를 수용하기 위해서 준비된다. 일 실시예에서, MOCVD 챔버(202)는 부가적인 기판의 프로세싱에 앞서서 증착-후 챔버 세정에 노출될 수 있을 것이다. 완성된 구조물이 저장을 위해서 배치 로드록 챔버(209)로 이송될 수 있고 또는 로드록 챔버(208) 및 적재 스테이션(210)을 통해서 프로세싱 시스템(200)으로부터 배출될 수 있을 것이다.
일 실시예에서, 복수의 캐리어 플레이트(212)가 GaN 층들의 증착을 위해서 개별적으로 MOCVD 챔버(202)의 내외로 이송될 수 있을 것이며, MOCVD 챔버의 세정 중에 각각의 캐리어 플레이트(212)가 배치 로드록 챔버(209) 및/또는 로드록 챔버(208) 내에 저장될 수 있을 것이다. MOCVD 챔버가 세정된 후에, InGaN 복수-양자 우물(MQW) 활성 층의 증착을 위해서, 각 캐리어 플레이트(212)가 개별적으로 MOCVD 챔버(202)로 이송될 수 있을 것이다.
특정 실시예에서, 챔버와 함께 캐리어 플레이트(212)를 세정하는 것이 바람직할 수도 있을 것이다. 캐리어 플레이트(212)가 MOCVD 챔버(202)로부터 제거된 후에, 기판(340)이 캐리어 플레이트(212)로부터 제거되고 그리고 MOCVD 챔버(202)와 함께 세정되도록 캐리어 플레이트가 MOCVD 챔버(202) 내로 재-삽입된다.
예시적인 세정 프로세스:
도 5는 본원 명세서에 기재된 실시예에 따라 MOCVD 챔버 세정에 이용될 수 있는 세정 프로세스(500)의 흐름도이다. 블록(502)에서, 증착 프로세스 중에 발생된 오염물질을 제거하기 위해서 프로세싱 챔버가 퍼지/배기된다. 블록(502)의 퍼지/배기 프로세스는 이하의 블록(506) 및 블록(512)에서 설명되는 퍼지/배기 프로세스와 유사하다. 블록(504)에 도시된 바와 같이, 세정 가스가 프로세싱 챔버 내로 유동된다. 세정 가스가 임의의 적합한 할로겐 함유 가스를 포함할 수 있다. 적합한 할로겐 함유 가스에는 불소 가스, 염소 가스, 브롬 가스, 요오드 가스, 할라이드, 기타 반응성 원소들, 그리고 이들의 조합을 포함하고, 상기 할라이드는 HI 가스, HCI 가스, HBr 가스, HF 가스, NF3를 포함한다. 일 실시예에서, 세정 가스가 염소 가스(Cl2)이다. 일 실시예에서, 프로세싱 챔버가 챔버(202)와 유사한 MOCVD 챔버이다.
특정 실시예에서, 본원 발명의 유량을 내부 챔버 부피당 sccm으로 나타냈다. 내부 챔버 부피는 가스가 점유할 수 있는 챔버 내부의 부피로서 규정된다. 예를 들어, 챔버(202)의 내부 챔버 부피는 챔버 본체(302)에 의해서 규정되는 부피에서 샤워헤드 조립체(304) 및 기판 지지부 조립체(314)에 의해서 점유되는 부피를 차감한 것이다. 특정 실시예에서, 세정 가스가 약 약 500 sccm 내지 약 10,000 sccm의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 1,000 sccm 내지 약 4,000 sccm의 유량으로 챔버 내로 유동된다. 일 실시예에서, 세정 가스가 약 2,000 sccm의 유량으로 챔버 내로 유동된다. 일 실시예에서, 세정 가스가 약 12.5 sccm/L 내지 약 250 sccm/L의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 25 sccm/L 내지 약 100 sccm/L의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 50 sccm/L의 유량으로 챔버 내로 유동된다.
일 실시예에서, 세정 가스가 캐리어 가스와 함께 공동-유동(co-flowed)될 수 있다. 캐리어 플레이트(212)는 아르곤, 질소, 수소, 헬륨, 네온, 크세논, 및 이들이 조합으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 가스일 수 있다. 일 실시예에서, 캐리어 가스는 약 500 sccm 내지 약 3,000 sccm의 유량으로 챔버 내로 유동된다. 일 실시예에서, 캐리어 가스는 약 1,000 sccm 내지 약 2,000 sccm의 유량으로 챔버 내로 유동된다. 일 실시예에서, 캐리어 가스는 약 12.5 sccm/L 내지 약 75 sccm/L의 유량으로 챔버 내로 유동된다. 일 실시예에서, 캐리어 가스는 약 25 sccm/L 내지 약 50 sccm/L의 유량으로 챔버 내로 유동된다. 일 실시예에서, 챔버의 전체 압력이 약 0.001 Torr 내지 약 500 Torr가 된다. 일 실시예에서, 챔버의 전체 압력이 약 50 Torr 내지 약 200 Torr이다. 일 실시예에서, 챔버의 전체 압력이 약 100 Torr이다. 일반적으로, 낮은(lower) 압력은 GaCl3를 가스 상태로 유지하는데 있어서 유리하다. 일 실시예에서, 지지부의 온도는 약 500 ℃ 내지 약 700℃ 이다. 일 실시예에서, 서셉터의 온도가 약 550 ℃ 내지 약 700 ℃이다. 일 실시예에서, 서셉터의 온도가 약 650 ℃ 이다. 일 실시예에서, 샤워헤드의 온도가 약 100 ℃ 내지 약 200 ℃이다. 세정 가스가 약 2 분 내지 약 10 분의 기간 동안 프로세싱 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 5 분의 기간 동안 프로세싱 챔버 내로 유동될 수 있다. 몇 개의 세정 사이클이 세정 사이클들 사이에서 실행되는 선택적인 퍼지 프로세스와 함께 적용될 수 있다는 것을 이해하여야 할 것이다. 일반적으로, 세정 가스 유동의 기간은 갈륨 및 GaN 증착물과 같은 갈륨 함유 증착물을 챔버의 표면 및 샤워헤드를 포함하는 챔버 성분의 표면으로부터 제거할 수 있을 정도로 길어야 할 것이다. 일 실시예에서, 캐리어 가스가 세정 가스와 함께 유동될 수 있을 것이다. 캐리어 가스는 아르곤, 질소(N2), 헬륨, 네온, 및 크세논 등으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 가스일 수 있다. 일 실시예에서, 세정 가스가 플라즈마 함유 세정 가스이다. 일 실시예에서, 플라즈마 함유 세정 가스가 원격 플라즈마 발생기를 이용하여 원격지에서 형성된다. 일 실시예에서, 플라즈마 함유 가스가 프로세싱 챔버 내에서 인-시츄 방식으로 형성된다.
블록(506)을 참조하면, 세정 가스의 펄스 또는 유동이 중단된 후에, 프로세싱 챔버가 퍼지/배기되어 세정 프로세스 동안에 생성된 세정 부산물을 제거한다. 퍼지 가스는 아르곤, 질소, 수소, 헬륨, 네온, 크세논, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 퍼지 가스 일 수 있다. 일 실시예에서, 퍼지 가스가 블록(504)의 선택적인 캐리어 가스와 동일할 수 있다. 일 실시예에서, 퍼지 가스를 약 1,000 sccm 내지 약 7,000 sccm의 유량으로 제공함으로써 프로세싱 챔버가 퍼지될 수 있다. 일 실시예에서, 퍼지 가스를 약 2,000 sccm 내지 약 4,000 sccm의 유량으로 프로세싱 챔버로 제공한다. 일 실시예에서, 퍼지 가스를 약 25 sccm/L 내지 약 175 sccm/L의 유량으로 제공함으로써 프로세싱 챔버가 퍼지될 수 있다. 일 실시예에서, 퍼지 가스를 약 50 sccm/L 내지 약 160 sccm/L의 유량으로 프로세싱 챔버로 제공한다. 일 실시예에서, 챔버가 약 0.001 Torr 내지 약 10 Torr의 챔버의 전체 압력으로 유지될 수 있다. 일 실시예에서, 챔버의 전체 압력이 약 5 Torr일 수 있다. 일 실시예에서, 서셉터의 온도가 약 600 ℃ 내지 약 1,000 ℃이다. 일 실시예에서, 서셉터의 온도가 약 900 ℃이다. 일 실시예에서, 샤워헤드의 온도가 100 ℃ 미만이다. 일 실시예에서, 퍼지 가스가 약 5분의 기간 동안 프로세싱 챔버 내로 유동될 수 있다. 일반적으로, 퍼지 가스 유동의 기간은 프로세싱 챔버로부터 블록(504)의 세정 프로세스의 부산물을 제거할 수 있을 정도로 충분히 길어야 할 것이다.
그 대신에, 또는 퍼지 가스 도입에 추가하여, 잔류 세정 가스 및 임의의 부산물을 프로세싱 챔버로부터 제거하기 위해서, 프로세스 챔버가 감압될 수 있을 것이다. 감압 프로세스는 약 0.5초 내지 약 20초의 기간 내에 약 0.001 Torr 내지 약 40 Torr로 압력이 감소된 챔버 압력을 초래할 것이다.
블록(504)에서 세정 가스와 함께 캐리어 가스가 이용되는 실시예에서, 캐리어 가스의 유동을 계속 진행하는 동안 세정 가스의 유동을 중단시킴으로서 블록(506)의 퍼지 프로세스가 실행될 수 있을 것이다. 그에 따라, 블록(506)의 퍼지 프로세스에서 캐리어 가스가 퍼지 가스로서 기능할 수 있게 된다.
블록(508)에 기재된 바와 같이, 프로세싱 챔버가 블록(506)에서 퍼지/배기된 후에, 선택적인 세정 가스가 프로세싱 챔버 내로 유동된다. 세정 가스가 불소 가스, 염소 가스, 요오드 가스, 브롬 가스, HI 가스, HCI 가스, HBr 가스, HF 가스, NF3, 기타 반응성 원소, 및 이들의 조합과 같은 할로겐 함유 가스를 포함할 수 있다. 일 실시예에서, 세정 가스가 염소 가스(Cl2)이다. 일 실시예에서, 블록(508) 내의 세정 가스가 블록(504)에서 사용된 세정 가스와 동일하다. 다른 실시예에서, 블록(504) 및 블록(508)에서 사용된 세정 가스가 서로 다른 세정 가스이다.
일 실시예에서, 세정 가스가 약 1,000 sccm 내지 약 10,000 sccm의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 3,000 sccm 내지 약 5,000 sccm의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 4,000 sccm의 유량으로 프로세싱 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 25 sccm/L 내지 약 250 sccm/L의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 75 sccm/L 내지 약 125 sccm/L의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 100 sccm/L의 유량으로 프로세싱 챔버 내로 유동될 수 있다. 전술한 바와 같이, 캐리어 가스가 세정 가스와 함께 선택적으로 공동-유동될 수 있을 것이다. 캐리어 가스는 아르곤, 질소, 수소, 헬륨, 네온, 크세논, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 가스일 수 있다. 일 실시예에서, 캐리어 가스는 약 1,000 sccm 내지 약 5,000 sccm의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 캐리어 가스는 약 2,000 sccm 내지 약 3,000 sccm의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 캐리어 가스는 약 25 sccm/L 내지 약 125 sccm/L의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 캐리어 가스는 약 50 sccm/L 내지 약 75 sccm/L의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 챔버는 약 300 Torr 내지 약 700 Torr의 전체 챔버 압력으로 유지될 것이다. 일 실시예에서, 챔버는 약 600 Torr의 전체 챔버 압력으로 유지될 것이다. 일 실시예에서, 서셉터의 온도가 약 400 ℃ 내지 약 600 ℃이다. 일 실시예에서, 서셉터의 온도가 약 42O ℃ 이다. 일 실시예에서, 샤워헤드의 온도가 200 ℃ 보다 높다. 일 실시예에서, 샤워헤드의 온도가 260 ℃ 보다 높고, 예를 들어, 약 260 ℃ 내지 약 400 ℃ 이다. 세정 가스가 약 2 분 내지 약 10 분의 기간 동안 프로세싱 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 3분의 기간 동안 프로세싱 챔버 내로 유동될 수 있다.
블록(510)에 기재된 바와 같이, 세정 가스를 프로세싱 챔버 내로 유동시킨 후에, 선택적인 소크(soak) 프로세스가 실행될 수 있다. 소크 프로세스 동안에, 세정 가스의 유동이 감소되는 한편, 서셉터 온도, 샤워헤드 온도 및 챔버 압력은 유지된다. 일 실시예에서, 세정 가스의 유량이 블록(508)에서의 유량에 비해서 감소되어 약 250 sccm 내지 약 1,000 sccm이 될 수 있을 것이다. 일 실시예에서, 세정 가스의 유량이 약 500 sccm으로 감소될 수 있을 것이다. 일 실시예에서, 세정 가스의 유량이 블록(508)에서의 유량에 비해서 감소되어 약 6.25 sccm/L 내지 약 25 sccm/L이 될 수 있을 것이다. 일 실시예에서, 세정 가스의 유량이 약 12.5 sccm/L으로 감소될 수 있을 것이다. 일 실시예에서, 챔버의 전체 압력이 약 300 Torr 내지 약 700 Torr이다. 일 실시예에서, 챔버의 전체 압력이 약 600 Torr이다. 일 실시예에서, 서셉터의 온도가 약 400 ℃ 내지 약 600 ℃ 이다. 일 실시예에서, 서셉터의 온도가 약 42O ℃ 이다. 일 실시예에서, 샤워헤드의 온도가 200 ℃ 보다 높다. 일 실시예에서, 샤워헤드의 온도가 260 ℃ 보다 높고, 예를 들어, 약 260 ℃ 내지 약 400 ℃ 이다. 소크 프로세스는 약 1분 내지 약 5 분의 기간 동안 실행될 수 있다. 일 실시예에서, 소크 프로세스가 약 2분의 기간 동안 실행될 수 있다.
블록(512)을 참조하면, 선택적인 소크 프로세스 후에, 소크 프로세스 및 세정 프로세스 중에 발생된 세정 부산물을 제거하기 위해서 프로세싱 챔버가 퍼지/배기될 수 있다. 퍼지 가스는 아르곤, 질소, 수소, 헬륨, 네온, 크세논, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 퍼지 가스일 수 있다. 일 실시예에서, 퍼지 가스는 블록(510)의 선택적인 캐리어 가스와 동일할 수 있다. 일 실시예에서, 프로세싱 챔버는 약 1,000 sccm 내지 약 4,000 sccm의 유량으로 퍼지 가스를 제공함으로써 퍼지된다. 일 실시예에서, 퍼지 가스가 약 3,000 sccm의 유량으로 프로세싱 챔버 내로 유동될 수 있다. 선택적으로, 퍼지 프로세스 동안에, 세정 가스가 약 2,000 sccm 내지 약 6,000 sccm의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 4,000의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 약 25 sccm/L 내지 약 100 sccm/L의 유량으로 퍼지 가스를 제공함으로써 프로세싱 챔버가 퍼지된다. 일 실시예에서, 퍼지 가스가 약 75 sccm/L의 유량으로 프로세싱 챔버 내로 유동될 수 있다. 선택적으로, 퍼지 프로세스 동안에, 세정 가스가 약 50 sccm/L 내지 약 150 sccm/L의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 약 100 sccm/L의 유량으로 챔버 내로 유동될 수 있다. 일 실시예에서, 세정 가스가 퍼지 가스와 공동-유동된다. 일 실시예에서, 전체 챔버 압력은 약 0.001 Torr 내지 약 10 Torr 이다. 일 실시예에서, 전체 챔버 압력이 약 5 Torr이다. 일 실시예에서, 서셉터의 온도가 약 400 ℃ 내지 약 600 ℃이다. 일 실시예에서, 서셉터의 온도가 약 430 ℃이다. 일 실시예에서, 샤워헤드의 온도가 200 ℃ 보다 높다. 일 실시예에서, 샤워헤드의 온도가 260 ℃ 보다 높고, 예를 들어, 약 260 ℃ 내지 약 400 ℃ 이다. 소크 프로세스는 약 1분 내지 약 5 분의 기간 동안 실행될 수 있다. 일 실시예에서, 소크 프로세스가 약 2분의 기간 동안 실행될 수 있다. 일 실시예에서, 퍼지 가스가 약 5분의 기간 동안 프로세싱 챔버 내로 유동될 수 있다. 일반적으로, 퍼지 가스 유동의 기간은 프로세싱 챔버로부터 블록(508)의 세정 프로세스 및 블록(510)의 소크 프로세스의 부산물을 제거할 수 있을 정도로 충분히 길어야 할 것이다.
일 실시예에서, 블록(502), 블록(506) 및 블록(512)의 퍼지 프로세스들 중 어느 하나 또는 둘이 고온(>1,000 ℃)에서 암모니아(NH3)와 같은 질소 함유 가스를 이용하여 실행되어, 세정 프로세스 후에 프로세싱 챔버 내의 잔류 GaCl3의 양을 감소시킬 수 있을 것이다. 선택적으로, 챔버 베이크(bake) 프로세스가, 전술한 퍼지 프로세스들 중의 임의의 퍼지 프로세스 후에, 약 950℃ 내지 약 1,050 ℃의 높은 온도와 약 0.001 Torr 내지 약 5 Torr의 낮은 압력과 질소 및/또는 수소 함유 분위기 내에서 실행되어, 챔버 세정 프로세스로부터의 임의의 잔류 증착물이 챔버에서 완전히 제거될 수 있게 보장할 것이다. 예시적인 세정 프로세스 또는 다른 측면들이 2008년 10월 2일자로 출원되고 US 2009-0149008로 공개되었으며 발명의 명칭이 METHOD FOR DEPOSITING GROUP III/V COMPOUNDS인 미국 특허출원 12/244,440에 기재되어 있으며, 이러한 특허는 본원에서 전체가 참조되어 포함된다.
도 6a는 종래 기술에 따른 프로세스를 이용하여 증착된 In 에 대해서 기판의 표면에 걸친 인듐(In) 분포에 대한 In X-레이 형광을 도시한 그래프이다. 도 6b는 본원에 기재된 실시예에 따라 증착된 In 에 대해서 기판의 표면에 걸친 In 분포에 대한 In X-레이 형광을 도시한 그래프이다. 도 6a 및 6b를 참조하면, x-축은 기판의 중심에 대한 위치를 밀리미터(mm)로 나타낸 것이고 그리고 y-축은 인듐 X-레이 형광 세기를 나타낸 것이다. 도 6a에 도시된 결과를 획득하기 위해서 이용된 종래 기술의 프로세스는 인-시츄 프로세스이며, 그러한 종래의 인-시츄 프로세스에서는, 본원에서 설명된 분할 프로세스 방법의 이점을 취하지 않고, GaN 층 및 InGaN 층이 동일한 챔버 내에서 증착되었다. 도 6b에 도시된 결과를 획득하기 위해서 이용된 프로세스는 인-시츄 프로세스를 이용하여 실행되었으며, 여기에서 챔버 세정은 GaN 층의 형성 이후에 그리고 InGaN 층의 증착에 앞서서 동일한 챔버 내에서 실행되었다. 도 6b에 도시된 결과는, 종래 기술의 프로세스를 이용하여 획득된 도 6a에 도시된 결과와 비교하여, 고온 GaN 증착(uGaN 및 nGaN 모두)후와 MQWs 성장에 앞선 챔버 세정을 가지는 단일 챔버 분할 프로세스의 경우의 기판에 걸친 인듐의 보다 균일한 분포를 보여준다.
전술한 내용이 본원 발명의 실시예들에 관한 것이지만, 본원 발명의 다른 그리고 추가적인 실시예들이 본원 발명의 기본 범위 내에서 안출될 수 있을 것이며, 본원 발명의 범위는 특허청구범위에 의해서 결정된다.

Claims (15)

  1. 질화 화합물 반도체 구조물을 제조하기 위한 방법으로서:
    제 1 질소 함유 전구체 및 제 1의 Ⅲ-족 원소를 포함하는 제 1의 Ⅲ-족 전구체를 이용하여 프로세싱 챔버 내에서 열적 화학적-기상-증착 프로세스로 하나 또는 둘 이상의 기판 위에 제 1 층을 증착하는 단계로서, 상기 제 1 층이 질소 및 제 1의 Ⅲ-족 원소를 포함하는 제 1 층 증착 단계;
    상기 제 1 층의 증착 후에 하나 또는 둘 이상의 기판을 대기중으로 노출시키지 않고 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거하는 단계;
    제 1 층을 증착한 후에 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거한 후에 상기 프로세싱 챔버로부터 오염물질을 제거하기 위해서 프로세싱 챔버 내로 제 1 세정 가스를 유동시키는 단계;
    상기 프로세싱 챔버로부터 오염물질을 제거한 후에 하나 또는 둘 이상의 기판을 대기중으로 노출시키지 않고 상기 하나 또는 둘 이상의 기판을 프로세싱 챔버 내로 이송하는 단계; 그리고
    제 2의 Ⅲ-족 전구체 및 제 2 질소 함유 전구체를 이용하여 상기 프로세싱 챔버 내에서 열적 화학적-기상-증착 프로세스로 제 1 층 위에 제 2 층을 증착하는 단계로서, 상기 제 2의 Ⅲ-족 전구체는 상기 제 1의 Ⅲ-족 전구체에 포함되지 않는 제 2의 Ⅲ-족 원소를 포함하는, 제 2 층 증착 단계를 포함하는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  2. 제 1 항에 있어서,
    제 2 층의 증착 후에 하나 또는 둘 이상의 기판을 대기 중으로 노출시키지 않고 상기 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거하는 단계;
    제 2 층의 증착 후에 하나 또는 둘 이상의 기판을 대기 중으로 노출시키지 않고 상기 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거한 후에 상기 프로세싱 챔버로부터 오염물질을 제거하기 위해서 상기 프로세싱 챔버 내로 제 2 세정 가스를 유동시키는 단계;
    제 2 세정 가스를 프로세싱 챔버 내로 유동시킨 후에 하나 또는 둘 이상의 기판을 대기 중으로 노출시키지 않고 상기 프로세싱 챔버 내로 하나 또는 둘 이상의 기판을 이송하는 단계;
    제 3의 Ⅲ-족 원소를 포함하는 제 3의 Ⅲ-족 전구체 및 제 3 질소 함유 전구체를 이용하여 상기 프로세싱 챔버 내에서 열적 화학적-기상-증착 프로세스로 하나 또는 둘 이상의 기판 상에 제 3 층을 증착하는 단계로서, 상기 제 3 층이 질소 및 제 3의 Ⅲ-족 원소를 포함하는, 제 3 층 증착 단계;
    제 4의 Ⅲ-족 원소를 포함하는 제 4의 Ⅲ-족 전구체 및 제 4 질소 함유 전구체를 이용하여 상기 프로세싱 챔버 내에서 열적 화학적-기상-증착 프로세스로 하나 또는 둘 이상의 기판 상에 제 4 층을 증착하는 단계로서, 상기 제 4 층이 질소 및 제 4의 Ⅲ-족 원소를 포함하는, 제 4 층 증착 단계;
    제 4 층의 증착 후에 하나 또는 둘 이상의 기판을 대기 중으로 노출시키지 않고 상기 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거하는 단계; 그리고
    제 4 층을 증착한 후에 하나 또는 둘 이상의 기판을 대기 중으로 노출시키지 않고 상기 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거한 후에 상기 프로세싱 챔버로부터 오염물질을 제거하기 위한 증착-후 세정을 실행하기 위해서 상기 프로세싱 챔버 내로 제 3 세정 가스를 유동시키는 단계를 더 포함하는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 제 1 세정 가스, 제 2 세정 가스, 및 제 3 세정 가스가 불소 가스, 염소 가스, 브롬 가스, 요오드 가스, HI 가스, HCI 가스, HBr 가스, HF 가스, 및 이들의 조합으로 이루어진 그룹으로부터 각각 개별적으로 선택되는 할로겐 함유 가스인
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 제 1의 Ⅲ-족 원소가 갈륨이고;
    상기 제 2의 Ⅲ-족 원소가 인듐이고;
    상기 제 3의 Ⅲ-족 원소가 알루미늄이고;
    상기 제 4의 Ⅲ-족 원소가 갈륨이며;
    상기 제 1 층이 GaN 층을 포함하고;
    상기 제 2 층이 InGaN 층을 포함하며;
    상기 제 3 층이 p-도핑된 AlGaN 층을 포함하고; 그리고
    상기 제 4 층이 p-도핑된 GaN 층을 포함하는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 오염물질은 샤워헤드를 포함하는 프로세싱 챔버의 성분 상에 증착된 Ga-부화 GaN을 주로(predominantly) 포함하는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 프로세싱 챔버로부터 하나 또는 둘 이상의 기판을 제거한 후에 그리고 상기 프로세싱 챔버로부터 오염물질을 제거하기 위해서 상기 프로세싱 챔버 내로 제 1 세정 가스를 유동시키기에 앞서서 상기 프로세싱 챔버로부터 오염물질을 제거하기 위해서 상기 프로세싱 챔버를 퍼지하는 단계; 그리고
    상기 프로세싱 챔버로부터 오염물질을 제거하기 위해서 상기 프로세싱 챔버 내로 제 1 세정 가스를 유동시킨 후에 상기 프로세싱 챔버로부터 오염물질을 제거하기 위해서 상기 프로세싱 챔버를 퍼지하는 단계를 더 포함하는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  7. 제 2 항에 있어서,
    상기 프로세싱 챔버로부터 오염물질을 제거하기 위해서 상기 프로세싱 챔버 내로 제 3 세정 가스를 유동시킨 후에 상기 프로세싱 챔버로부터 오염물질을 제거하기 위해서 상기 프로세싱 챔버를 퍼지하는 단계; 그리고
    상기 프로세싱 챔버를 퍼지하는 단계 후에, 약 950℃ 내지 약 1,050 ℃의 높은 온도와 약 0.001 Torr 내지 약 5 Torr의 챔버 압력과 질소 및/또는 수소 함유 분위기 내에서 챔버 베이크 프로세스를 실행하는 단계를 더 포함하는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  8. 질화 화합물 반도체 구조물을 제조하기 위한 방법으로서:
    샤워헤드를 포함하는 금속유기화학기상증착(MOCVD) 챔버의 프로세싱 영역 내의 서셉터 상에 하나 또는 둘 이상의 기판을 배치하는 단계;
    상기 샤워 헤드를 통해서 제 1 갈륨 함유 전구체 및 제 1 질소 함유 전구체를 MOCVD 챔버 내로 유동시킴으로써 MOCVD 챔버 내에서 열적 화학적-기상-증착 프로세스로 기판 상에 갈륨 질화물 층을 증착하는 단계;
    하나 또는 둘 이상의 기판을 대기중으로 노출시키지 않고 MOCVD 챔버로부터 제거하는 단계;
    상기 샤워헤드로부터 오염물질을 제거하기 위해서 프로세싱 챔버 내로 염소 가스를 유동시키는 단계;
    상기 샤워헤드로부터 오염물질을 제거한 후에 상기 MOCVD 챔버 내로 하나 또는 둘 이상의 기판을 이송하는 단계; 그리고
    제 2 갈륨 함유 전구체, 인듐 함유 전구체, 및 제 2 질소 함유 전구체를 MOCVD 챔버 내로 유동시킴으로써 상기 MOCVD 챔버 내에서 열적 화학적-기상-증착 프로세스를 이용하여 GaN 층 상에 InGaN 층을 증착하는 단계를 포함하는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  9. 제 8 항에 있어서,
    하나 또는 둘 이상의 기판을 상기 MOCVD 챔버 내로 이송하기에 앞서서 상기 MOCVD 챔버로부터 염소 가스 및 오염물질 부산물을 제거하기 위해서 제 1 퍼지 가스를 상기 MOCVD 챔버 내로 유동시키는 단계;
    MOCVD 챔버를 퍼지한 후에 상기 샤워헤드로부터 오염물질을 제거하기 위해서 세정 가스를 상기 MOCVD 챔버 내로 유동시키는 단계; 그리고
    상기 MOCVD 챔버로부터 세정 가스 및 오염물질 부산물을 제거하기 위해서 제 2 퍼지 가스를 상기 MOCVD 챔버 내로 유동시키는 단계를 더 포함하는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 염소 가스가 약 1,000 sccm 내지 약 4,000 sccm의 유량, 약 0.001 Torr 내지 100 Torr의 전체 챔버 압력, 약 600 ℃ 내지 약 700 ℃의 서셉터 온도, 그리고 약 100 ℃ 내지 약 200 ℃의 샤워헤드 온도에서 MOCVD 챔버 내로 유동되는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 제 1 퍼지 가스가 약 1,000 sccm 내지 약 5,000 sccm의 유량, 약 0.001 Torr 내지 약 10 Torr의 전체 챔버 압력, 약 900 ℃의 서셉터 온도, 그리고 100 ℃ 미만의 샤워헤드 온도에서 MOCVD 챔버 내로 유동되고;
    상기 세정 가스가 약 3,000 sccm 내지 약 5,000 sccm의 유량, 약 300 Torr 내지 약 700 Torr의 전체 챔버 압력, 약 400 ℃ 내지 약 600 ℃의 서셉터 온도, 그리고 약 260 ℃ 내지 약 400 ℃의 샤워헤드 온도에서 MOCVD 챔버 내로 유동되고; 그리고
    상기 제 2 퍼지 가스가 약 1,000 sccm 내지 약 4,000 sccm의 유량, 약 0.001 Torr 내지 약 10 Torr의 전체 챔버 압력, 약 400 ℃ 내지 약 600 ℃의 서셉터 온도, 그리고 200 ℃ 보다 높은 샤워헤드 온도에서 제공되는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 MOCVD 챔버로부터 하나 또는 둘 이상의 기판을 제거하는 단계가 90% 초과의 N2를 가지는 대기의 로드록 챔버로 기판을 이송하는 단계를 포함하는
    질화 화합물 반도체 구조물을 제조하기 위한 방법.
  13. 질화 화합물 반도체 소자를 제조하기 위한 통합형 프로세싱 시스템으로서:
    열적 화학적-기상-증착 프로세스를 이용하여 하나 또는 둘 이상의 기판 위에 갈륨 질화물(GaN) 층을 형성하기 위해서 그리고 복수-양자 우물(multi-quantum well; MQW) 층을 상기 GaN 층 위에 형성하기 위해서 작동될 수 있는 금속유기화학기상증착(MOCVD) 챔버; 그리고
    상기 GaN 층 위에 복수-양자 우물 층을 형성하기에 앞서서 MOCVD 챔버의 하나 또는 둘 이상의 내부 표면으로부터 하나 또는 둘 이상의 기판의 위에 GaN 층을 형성할 때 증착된 원하지 않는 증착 축적물의 적어도 일부를 제거하기 위해서 할로겐 함유 가스를 상기 MOCVD 챔버 내로 유동시키도록 작동될 수 있고 상기 MOCVD 챔버에 커플링된 할로겐 함유 가스 공급원을 포함하고,
    상기 할로겐 함유 가스는 불소, 염소, 브롬, 요오드, HI 가스, HCl 가스, HBr 가스, HF 가스, NF3, 및 이들의 조합을 포함하는 그룹으로부터 선택되는
    질화 화합물 반도체 소자를 제조하기 위한 통합형 프로세싱 시스템.
  14. 제 13 항에 있어서,
    상기 GaN 층 위에 복수-양자 우물 층을 형성하기에 앞서서 할로겐 함유 가스와 원치 않는 증착 축적물의 반응으로부터 형성된 반응 부산물을 상기 MOCVD 챔버로부터 제거하기 위해서 상기 MOCVD 챔버 내로 퍼지 가스를 유동시키도록 작동될 수 있고 상기 MOCVD 챔버에 커플링된 퍼지 가스 공급원을 더 포함하는
    질화 화합물 반도체 소자를 제조하기 위한 통합형 프로세싱 시스템.
  15. 제 13 항에 있어서,
    상기 MOCVD 챔버와 이송가능하게 소통될 수 있는 이송 영역;
    상기 하나 또는 둘 이상의 기판을 대기 중에 노출시키지 않고 상기 하나 또는 둘 이상의 기판을 이송하기 위해서 이송 영역 내에 배치되는 로봇 조립체; 그리고
    상기 이송 영역과 이송가능하게 소통되는 로드록 챔버를 더 포함하고,
    상기 하나 또는 둘 이상의 기판을 이송하는 것은 90% 초과의 N2를 가지는 분위기의 대기로 기판을 노출시키지 않고 상기 MOCVD 챔버로부터 로드록 챔버로 하나 또는 둘 이상의 기판을 이송하는 것을 포함하는
    질화 화합물 반도체 소자를 제조하기 위한 통합형 프로세싱 시스템.
KR1020117028426A 2009-04-28 2010-04-22 Led 제조를 위한 금속유기화학기상증착 단일 챔버 분할 프로세스 KR20120009504A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17355209P 2009-04-28 2009-04-28
US61/173,552 2009-04-28
US12/730,975 2010-03-24
US12/730,975 US8110889B2 (en) 2009-04-28 2010-03-24 MOCVD single chamber split process for LED manufacturing

Publications (1)

Publication Number Publication Date
KR20120009504A true KR20120009504A (ko) 2012-01-31

Family

ID=42992510

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117028426A KR20120009504A (ko) 2009-04-28 2010-04-22 Led 제조를 위한 금속유기화학기상증착 단일 챔버 분할 프로세스

Country Status (6)

Country Link
US (3) US20100273291A1 (ko)
JP (1) JP2012525708A (ko)
KR (1) KR20120009504A (ko)
CN (1) CN102414845A (ko)
TW (1) TW201101531A (ko)
WO (1) WO2010129183A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101411423B1 (ko) * 2012-06-15 2014-06-25 주식회사 티지오테크 금속 할로겐 가스 및 질화 가스가 단일유입관으로 공급되는 배치식 박막 형성 장치
KR20160006149A (ko) * 2014-02-20 2016-01-18 가부시키가이샤 뉴플레어 테크놀로지 기상 성장 방법

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
WO2011031858A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
KR20120099632A (ko) * 2009-10-07 2012-09-11 어플라이드 머티어리얼스, 인코포레이티드 Led 제조를 위한 개선된 다중 챔버 분할 프로세스
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103597583B (zh) * 2011-05-19 2016-06-08 古河机械金属株式会社 半导体制造装置部件的清洗方法、半导体制造装置部件的清洗装置及气相生长装置
US20130005118A1 (en) * 2011-07-01 2013-01-03 Sung Won Jun Formation of iii-v materials using mocvd with chlorine cleans operations
TWI470672B (zh) * 2011-08-22 2015-01-21 Soitec Silicon On Insulator 用於鹵化物氣相磊晶系統之直接液體注入及方法
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
CN103022268A (zh) * 2011-09-22 2013-04-03 理想能源设备(上海)有限公司 硅基薄膜太阳能电池制造方法及其制造装置
US9044793B2 (en) * 2011-11-22 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
DE102011056538A1 (de) 2011-12-16 2013-06-20 Aixtron Se Verfahren zum Entfernen unerwünschter Rückstände aus einem MOCVD-Reaktor sowie zugehörige Vorrichtung
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JP5766647B2 (ja) * 2012-03-28 2015-08-19 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
JP5551730B2 (ja) * 2012-03-28 2014-07-16 日本電信電話株式会社 半導体薄膜の製造方法
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9925569B2 (en) 2012-09-25 2018-03-27 Applied Materials, Inc. Chamber cleaning with infrared absorption gas
US20140124788A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
DE102013104105A1 (de) * 2013-04-23 2014-10-23 Aixtron Se MOCVD-Schichtwachstumsverfahren mit nachfolgendem mehrstufigen Reinigungsschritt
TWI600786B (zh) 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9276190B2 (en) 2013-10-01 2016-03-01 The Pen Practical method of producing an aerogel composite continuous thin film thermoelectric semiconductor material by modified MOCVD
JP6123688B2 (ja) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 成膜装置
DE102014102039A1 (de) * 2014-02-18 2015-08-20 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Nitrid-Verbindungshalbleiterschicht
KR102145205B1 (ko) 2014-04-25 2020-08-19 삼성전자주식회사 반도체 소자 제조방법 및 증착 장치의 유지보수방법
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN104112662A (zh) * 2014-07-25 2014-10-22 中国科学院半导体研究所 气相外延在线清洗装置及方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP2016105471A (ja) * 2014-11-20 2016-06-09 株式会社ニューフレアテクノロジー 気相成長方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
DE102015101462A1 (de) * 2015-02-02 2016-08-04 Aixtron Se Verfahren und Vorrichtung zum Abscheiden einer III-V-Halbleiterschicht
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6332089B2 (ja) * 2015-03-16 2018-05-30 豊田合成株式会社 半導体素子の製造方法
JP6499493B2 (ja) * 2015-04-10 2019-04-10 株式会社ニューフレアテクノロジー 気相成長方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6473231B2 (ja) * 2015-06-18 2019-02-20 東芝三菱電機産業システム株式会社 金属酸化膜の成膜方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI671787B (zh) 2015-09-22 2019-09-11 美商應用材料股份有限公司 清洗方法
KR20180085807A (ko) 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 세정 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
TWI692021B (zh) * 2016-07-05 2020-04-21 伯思達綠能科技股份有限公司 Led製造用圖案化藍寶石基板的氮化鎵薄膜清除裝置及其清除方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052471A1 (en) * 2016-09-14 2018-03-22 Applied Materials, Inc. A degassing chamber for arsenic related processes
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10690589B2 (en) * 2017-07-28 2020-06-23 Kla-Tencor Corporation Laser sustained plasma light source with forced flow through natural convection
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10410845B2 (en) * 2017-11-22 2019-09-10 Applied Materials, Inc. Using bias RF pulsing to effectively clean electrostatic chuck (ESC)
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN108133985A (zh) * 2017-12-22 2018-06-08 安徽三安光电有限公司 一种氮化物发光二极管
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102572740B1 (ko) * 2018-06-08 2023-08-29 어플라이드 머티어리얼스, 인코포레이티드 플랫 패널 프로세스 장비를 위한 온도 제어식 가스 확산기
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7137070B2 (ja) * 2018-12-03 2022-09-14 日本電信電話株式会社 窒化物半導体光電極の製造方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
FR3098019B1 (fr) * 2019-06-25 2022-05-20 Aledia Dispositif optoélectronique comprenant des éléments semi-conducteurs tridimensionnels et procédé pour sa fabrication
CN112309815B (zh) * 2019-07-26 2023-07-28 山东浪潮华光光电子股份有限公司 生产led外延片的mocvd系统维护保养后的恢复方法
TWI730419B (zh) * 2019-09-20 2021-06-11 力晶積成電子製造股份有限公司 鋁層的蝕刻後保護方法
KR20220148735A (ko) * 2021-04-29 2022-11-07 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법
WO2023099674A1 (de) * 2021-12-03 2023-06-08 Aixtron Se Verfahren und vorrichtung zum abscheiden einer ein element der v. hauptgruppe enthaltenen schicht in einer prozesskammer und anschliessendem reinigen der prozesskammer
WO2024097507A1 (en) * 2022-11-01 2024-05-10 Lam Research Corporation Reducing particle buildup in processing chambers

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4851295A (en) 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
JP3098773B2 (ja) * 1991-03-18 2000-10-16 トラスティーズ・オブ・ボストン・ユニバーシティ 高絶縁性単結晶窒化ガリウム薄膜の作製及びドープ方法
WO1992022084A1 (en) 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
WO1995027570A1 (en) 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JPH0945670A (ja) * 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
JP3085364B2 (ja) 1997-07-22 2000-09-04 日本電気株式会社 Cvd装置のクリーニング方法
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6218280B1 (en) 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6242347B1 (en) * 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
KR100304664B1 (ko) 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2000074127A1 (fr) 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
WO2001057289A1 (de) 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
JP4849705B2 (ja) 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
JP4813737B2 (ja) 2000-04-17 2011-11-09 マットソン テクノロジー インコーポレイテッド 窒化ケイ素フィルムを形成するための超薄オキシニトリドのuv前処理法
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
AU2002219966A1 (en) * 2000-11-30 2002-06-11 North Carolina State University Methods and apparatus for producing m'n based materials
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
JP2004525518A (ja) 2001-03-30 2004-08-19 テクノロジーズ アンド デバイス インターナショナル インコーポレイテッド Hvpe技術を使用したサブミクロンiii属窒化物構造を成長させる方法および装置
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
US7211833B2 (en) * 2001-07-23 2007-05-01 Cree, Inc. Light emitting diodes including barrier layers/sublayers
JP2003077839A (ja) 2001-08-30 2003-03-14 Toshiba Corp 半導体製造装置のパージ方法及び半導体装置の製造方法
JP2006512748A (ja) 2001-12-21 2006-04-13 アイクストロン、アーゲー Iii−v半導体皮膜を非iii−v基板に沈積する方法
DE10163394A1 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
AUPS240402A0 (en) * 2002-05-17 2002-06-13 Macquarie Research Limited Gallium nitride
KR100568701B1 (ko) 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
JP2007525822A (ja) 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US6906351B2 (en) * 2003-08-05 2005-06-14 University Of Florida Research Foundation, Inc. Group III-nitride growth on Si substrate using oxynitride interlayer
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7205205B2 (en) * 2003-11-12 2007-04-17 Applied Materials Ramp temperature techniques for improved mean wafer before clean
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7220324B2 (en) 2005-03-10 2007-05-22 The Regents Of The University Of California Technique for the growth of planar semi-polar gallium nitride
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
EP2573206B1 (en) * 2004-09-27 2014-06-11 Gallium Enterprises Pty Ltd Method for growing a group (iii) metal nitride film
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
TWI377602B (en) * 2005-05-31 2012-11-21 Japan Science & Tech Agency Growth of planar non-polar {1-100} m-plane gallium nitride with metalorganic chemical vapor deposition (mocvd)
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US8946674B2 (en) * 2005-08-31 2015-02-03 University Of Florida Research Foundation, Inc. Group III-nitrides on Si substrates using a nanostructured interlayer
JP4803578B2 (ja) 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
KR100755804B1 (ko) * 2005-12-27 2007-09-05 주식회사 아이피에스 알루미늄 함유 금속막 및 알루미늄 함유 금속 질화막을증착하는 박막 증착 장치의 세정방법
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7470599B2 (en) * 2006-04-14 2008-12-30 Applied Materials, Inc. Dual-side epitaxy processes for production of nitride semiconductor structures
US7364991B2 (en) * 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) * 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20080314317A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Showerhead design with precursor pre-mixing
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
CA2638191A1 (en) * 2007-07-20 2009-01-20 Gallium Enterprises Pty Ltd Buried contact devices for nitride-based films and manufacture thereof
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
KR100888440B1 (ko) * 2007-11-23 2009-03-11 삼성전기주식회사 수직구조 발광다이오드 소자의 제조방법
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20090194024A1 (en) 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101411423B1 (ko) * 2012-06-15 2014-06-25 주식회사 티지오테크 금속 할로겐 가스 및 질화 가스가 단일유입관으로 공급되는 배치식 박막 형성 장치
KR20160006149A (ko) * 2014-02-20 2016-01-18 가부시키가이샤 뉴플레어 테크놀로지 기상 성장 방법

Also Published As

Publication number Publication date
TW201101531A (en) 2011-01-01
US8110889B2 (en) 2012-02-07
US20100273290A1 (en) 2010-10-28
US20100273291A1 (en) 2010-10-28
WO2010129183A2 (en) 2010-11-11
WO2010129183A3 (en) 2011-01-20
CN102414845A (zh) 2012-04-11
US20120111272A1 (en) 2012-05-10
WO2010129183A4 (en) 2011-03-17
JP2012525708A (ja) 2012-10-22

Similar Documents

Publication Publication Date Title
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
US8080466B2 (en) Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US20110244617A1 (en) Forming a compound-nitride structure that includes a nucleation layer
US8642368B2 (en) Enhancement of LED light extraction with in-situ surface roughening
US20110081771A1 (en) Multichamber split processes for led manufacturing
US8183132B2 (en) Methods for fabricating group III nitride structures with a cluster tool
TWI496935B (zh) Mocvd腔室在原位清潔後利用nh3淨化之去汙染
US20100279020A1 (en) METHOD OF FORMING IN-SITU PRE-GaN DEPOSITION LAYER IN HVPE
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
US7364991B2 (en) Buffer-layer treatment of MOCVD-grown nitride structures
US8853086B2 (en) Methods for pretreatment of group III-nitride depositions
US20110207256A1 (en) In-situ acceptor activation with nitrogen and/or oxygen plasma treatment
US20110171758A1 (en) Reclamation of scrap materials for led manufacturing
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20120015502A1 (en) p-GaN Fabrication Process Utilizing a Dedicated Chamber and Method of Minimizing Magnesium Redistribution for Sharper Decay Profile

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid