CN102414845A - 用于制造led的mocvd单一腔室分割工艺 - Google Patents

用于制造led的mocvd单一腔室分割工艺 Download PDF

Info

Publication number
CN102414845A
CN102414845A CN2010800195160A CN201080019516A CN102414845A CN 102414845 A CN102414845 A CN 102414845A CN 2010800195160 A CN2010800195160 A CN 2010800195160A CN 201080019516 A CN201080019516 A CN 201080019516A CN 102414845 A CN102414845 A CN 102414845A
Authority
CN
China
Prior art keywords
chamber
substrates
gas
mocvd
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800195160A
Other languages
English (en)
Inventor
O·克利里欧科
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102414845A publication Critical patent/CN102414845A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在一实施例中,提供一种用于制造复合氮化物半导体装置的方法,所述方法包含以下步骤:将一个或多个基板安置于包含喷头的金属有机化学气相沉积(MOCVD)腔室的处理区域中的基座上;藉由将第一含镓前体及第一含氮前体经由所述喷头流入所述MOCVD腔室,在所述MOCVD腔室中使用热化学气相沉积工艺将氮化镓层沉积于所述基板上;将一个或多个基板自所述MOCVD腔室移除,而不使所述一个或多个基板暴露于大气;将氯气流入所述处理腔室以自所述喷头移除污染物;在自所述喷头移除污染物之后,将一个或多个基板运送至所述MOCVD腔室中;以及在所述MOCVD腔室中使用热化学气相沉积工艺,将InGaN层沉积于所述GaN层上。

Description

用于制造LED的MOCVD单一腔室分割工艺
技术领域
本发明的实施例一般涉及制造诸如发光二极管(LED)、激光二极管(LD)的器件,更具体地涉及用于藉由金属有机化学气相沉积(MOCVD)工艺来形成第III-V族材料的工艺。
现有技术
第III-V族薄膜在各种半导体器件(诸如,短波长LED、LD)及电子器件(包括高功率、高频率、高温晶体管及集成电路)的开发及制造中愈来愈重要。举例而言,短波长(例如,蓝光/绿光至紫外光)LED使用第III族氮化物半导体材料氮化镓(GaN)来制造。已观察到,与使用包含第II-VI族元素的非氮化物半导体材料制造的短波长LED相比,使用GaN制造的短波长LED可提供显著更大的效率及更长的操作寿命。
一种已用于沉积第III族氮化物(诸如GaN)的方法为金属有机化学气相沉积法(MOCVD)。通常在具有温控环境的反应器中执行此化学气相沉积法,以确保第一前体气体的稳定性,所述第一前体气体含有至少一种第III族元素,诸如镓(Ga)。第二前体气体(诸如氨气(NH3))提供形成第III族氮化物所需的氮。将所述两种前体气体注入反应器中的处理区域,在所述处理区域中所述两种气体混合且移向所述处理区域中的加热基板。可使用载气来帮助向基板传送前体气体。所述前体在加热基板的表面起反应以在所述基板表面上形成诸如GaN的第III族氮化物层。所述薄膜的质量部分地取决于沉积均匀度,而沉积均匀度又取决于前体横跨基板的均匀流动及混合。
在MOCVD工艺期间可能发生在内表面(诸如,MOCVD处理腔室的侧壁及喷头)上的非期望沉积。此非期望沉积可在腔室内产生粒子及碎片,从而导致工艺条件的偏移,且更重要地将影响工艺再现性及均匀度。
随着对LED、LD、晶体管及集成电路的需求增大,沉积高质量第III族氮化物薄膜的效率呈现更大的重要性。因此,需要一种可在较大基板及较大沉积区域上提供一致薄膜质量的改良工艺及设备。
发明内容
本文描述的实施例一般涉及用于藉由金属有机化学气相沉积(MOCVD)工艺来形成第III-V族材料的方法。在一实施例中,提供一种用于制造复合氮化物半导体结构的方法。所述方法包含以下步骤:使用第一第III族前体及第一含氮前体,在处理腔室中使用热化学气相沉积工艺将第一层沉积于一个或多个基板上,所述第一第III族前体包含第一第III族元素,其中所述第一层包含氮及第一第III族元素;在沉积第一层之后将一个或多个基板自所述处理腔室移除,而不使所述一个或多个基板暴露于大气;在沉积第一层之后,将一个或多个基板自所述处理腔室移除之后,使第一清洁气体流入所述处理腔室以自所述处理腔室移除污染物;在自所述处理腔室移除污染物之后,将一个或多个基板运送至所述处理腔室中,而不使所述一个或多个基板暴露于大气;以及使用第二第III族前体及第二含氮前体,在处理腔室中使用热化学气相沉积工艺,将第二层沉积于第一层上,其中第二第III族前体包含第二第III族元素但不包含第一第III族前体。
在另一实施例中,提供一种用于制造复合氮化物半导体结构的方法。所述方法包含以下步骤:将一个或多个基板安置于包含喷头的金属有机化学气相沉积(MOCVD)腔室的处理区域中的基座上;藉由使第一含镓前体及第一含氮前体经由喷头流入所述MOCVD腔室,在所述MOCVD腔室中使用热化学气相沉积工艺将氮化镓层沉积于基板上;将一个或多个基板自所述MOCVD腔室移除,而不使所述一个或多个基板暴露于大气;使氯气流入所述处理腔室以自喷头移除污染物;在自喷头移除污染物之后,将一个或多个基板运送至所述MOCVD腔室中;以及藉由使第二含镓前体、含铟前体及第二含氮前体流入所述MOCVD腔室,在所述MOCVD腔室中使用热化学气相沉积工艺,将InGaN层沉积于GaN层上。
在又一实施例中,提供一种用于制造复合氮化物半导体器件的集成处理系统。所述集成处理系统包含:金属有机化学气相沉积(MOCVD)腔室,所述MOCVD腔室可操作以使用热化学气相沉积工艺在一个或多个基板上形成氮化镓(GaN)层且在所述GaN层上形成多量子阱(MQW)层,以及与所述MOCVD腔室耦接的含卤素气源,所述含卤素气源可操作以使含卤素气体流入所述MOCVD腔室,从而在将所述MQW层形成于所述GaN层上之前自所述MOCVD腔室的一个或多个内表面移除至少一部分非期望的沉积物堆积,所述非期望的沉积物堆积是在一个或多个基板上形成GaN层时沉积的,其中所述含卤素气体选自包含以下的群组:氟、氯、溴、碘、碘化氢(HI)气、氯化氢(HCl)气、溴化氢(HBr)气、氟化氢(HF)气、三氟化氮(NF3)及其组合。
附图简单说明
因此,可详细了解本发明的上述特征结构的方式,即上文简要概述的本发明的更具体描述可参照实施例进行,其中一些实施例图在附图中示出。然而,应注意,所述附图仅图示本发明的典型实施例且因此不欲视为本发明范畴的限制,因为本发明可允许其他等效实施例。
图1A为基于GaN的LED的结构的图解说明;
图1B为在LED结构生长之后喷头沉积的EDX光谱;
图1C为镓-铟相图;
图2为图示根据本文所描述的实施例的用于制造复合氮化物半导体器件的处理系统的一实施例的俯视示意图;
图3为根据本文所描述的实施例的用于制造复合氮化物半导体器件的金属有机化学气相沉积(MOCVD)腔室的横截面示意图;
图4为根据本文所描述的实施例可用于单腔室形成复合氮化物半导体的工艺的流程图;
图5为根据本文所描述的实施例可用于清洁MOCVD腔室的清洁工艺的流程图;
图6A为展示使用现有技术工艺沉积In的跨基板表面的铟(In)分布的InX射线萤光的图表;及
图6B为展示根据本文所描述的实施例沉积In的跨基板表面In分布的In X射线萤光的图表。
具体实施方式
本文描述的实施例一般涉及用于藉由MOCVD工艺形成第III-V族材料的方法。在一实施例中,在基板上沉积III1-N层之后且在同一腔室中在所述基板上沉积III2-N层之前执行原位腔室清洁工艺。在一实施例中,在高温GaN沉积工艺之后且在同一腔室中在InGaN多量子阱(MQW)、AlGaN及pGaN生长之前执行原位腔室清洁工艺。本案发明人已发现,在原位腔室清洁工艺之后,在与GaN沉积的腔室相同的腔室中执行MQW沉积消除在气相中的铟耗尽。因此,三甲基铟(TMI)输入流亦显著减少,例如,在执行腔室清洁工艺之后,使用400sccm-500sccm的TMI流率来生长InGaN MQW,而在未执行腔室清洁工艺的情况下使用800sccm-1200sccm的流率来原位生长InGaN MQW。此外,在腔室清洁工艺之后,基板上的铟沉积更均匀,从而产生理想的光致发光(PL)波长均匀性。在一实施例中,所述腔室清洁工艺藉由以下步骤执行:使含卤素清洁气(诸如氯气)流入所述MOCVD腔室,以将腔室的表面及腔室组件的表面上的镓涂层转换为GaCl3,所述GaCl3随后可自腔室移除。
尽管未限制其中可实践本文所描述的实施例的特定设备,但是在购自Applied Materials Inc.(美国加州圣克拉拉)的群集工具系统中实践实施例尤其有利。另外,可购自其他制造商的系统(包括,线性系统)亦可受益于本文所描述的实施例。
目前,MOCVD技术为最广泛地用于基于第III族氮化物的生长的LED制造的技术。在图1A中,将一典型的基于氮化物结构图示为基于GaN的LED结构100。LED结构100在基板104上制造。基板的直径尺寸可在50mm至100mm范围内,或更大。应了解,基板可包含以下中的至少之一:蓝宝石、SiC、GaN、硅、石英、GaAs、AlN及玻璃。无掺杂氮化镓(u-GaN层)继之以N型GaN层112沉积于可任选缓冲层109(例如,GaN)上和/或形成于基板上的可任选籽晶/成核层108(例如,氮化铝(AlN))上。在一实施例中,成核层108包含AlxGa1-xN且基板104包含AlN。在另一实施例中,缓冲层109包含GaN且沉积于包含AlxGa1-xN的成核层108上。所述器件的有源区域在多量子阱层116中实现,多量子阱层116在附图中展示为包含InGaN层。p-n结由上覆p型AlGaN层120形成,而p型GaN层124充当接触层。
此LED的典型制造过程可于在处理腔室中清洁基板104之后使用MOCVD工艺。MOCVD沉积藉由以下步骤完成:向处理腔室提供适当前体流;以及使用热工艺来实现沉积。举例而言,GaN层可藉由使用含Ga及含氮前体,可能藉由使用如N2、H2及NH3的流动气体流来沉积。InGaN层可藉由使用Ga、N及In前体,可能藉由使用流动气体流来沉积。AlGaN层可藉由使用Ga、N及Al前体,亦可能藉由使用流动气体流来沉积。在所图示的结构100中,GaN缓冲层108具有约
Figure BPA00001449488600051
的厚度,且可在约550℃的温度下沉积。后续的u-GaN及n-GaN层112的沉积通常在较高温度(诸如,在一实施例中约为1,050℃)下执行。u-GaN及n-GaN层112相对较厚。在一实施例中,u-GaN及n-GaN层具有约4μm的厚度,此厚度需要沉积约140分钟。在一实施例中,InGaN多量子阱(MQW)层116可具有约
Figure BPA00001449488600052
的厚度,此厚度可在约750℃的温度下在约40分钟的时段内沉积。在一实施例中,p-AlGaN层120可具有约
Figure BPA00001449488600053
的厚度,此厚度可在自约950℃至约1,020℃的温度下在约五分钟内沉积。在一实施例中,完成结构的接触层124的厚度可约为0.4μm,且可在约1,050℃的温度下历时约25分钟沉积。另外,可将诸如硅(Si)或镁(Mg)的掺杂剂添加至薄膜。在沉积工艺期间,可藉由添加少量掺杂气体来掺杂薄膜。举例而言,为了掺杂硅,可使用甲硅烷(SiH4)或二硅烷(Si2H6)气体,而为了掺杂镁,掺杂气体可包括双(环戊二烯)镁(Cp2Mg或(C5H5)2Mg)。
当在单一MOCVD腔室中执行上述步骤时,高温下的GaN的生长导致Ga金属及GaN在所述MOCVD腔室内的严重寄生沉积,尤其在腔室组件上,包括MOCVD腔室的喷头或气体分配组件。如图1B所展示,此寄生沉积通常富含镓。归因于镓自身充当陷阱(trap)的性质,富含镓的沉积造成与用于沉积LED的后续单一层的气相前体反应的问题,所述气相前体诸如(例如)三甲基铟(TMI)、三甲基铝(TMA)、N型掺杂剂(诸如甲硅烷(SiH4)及二硅烷(Si2H6))及p型掺杂剂(诸如Cp2Mg)。在有利条件下,在MOCVD腔室内,归因于Ga-In共熔形成(展示于图1C中),InGaN多量子阱(MQW)受影响最大,从而导致PL波长偏移、PL强度降低及器件总体降级。
图2为图示根据本文所描述的实施例的用于制造复合氮化物半导体器件的处理系统200的一实施例的俯视示意图,处理系统200包含单一MOCVD腔室202。在一实施例中,处理系统200与大气隔离。尽管展示了一个MOCVD腔室202,但是应了解,亦可将一个以上MOCVD腔室202,或另外将一个或多个MOCVD腔室202与一个或多个氢化物气相外延(HVPE)腔室的组合与运送腔室206耦接。处理系统200包含:容纳基板处理器(未图示)的运送腔室206、与运送腔室206耦接的MOCVD腔室202、与运送腔室206耦接的装载锁定腔室208、与运送腔室206耦接用于储存基板的批量装载锁定腔室209,以及与装载锁定腔室208耦接用于装载基板的装载台210。运送腔室206包含:机械手组件(未图示),所述机械手组件可操作以在装载锁定腔室208、批量装载锁定腔室209与MOCVD腔室202之间拾取及运送基板。亦应了解,尽管展示了群集工具,但是可使用线性跟踪系统来执行本文所描述的实施例。
在工艺期间,运送腔室206可保持处于真空下。可调整运送腔室真空程度以匹配MOCVD腔室202的真空程度。举例而言,当将基板自运送腔室206运送至MOCVD腔室202(或反之亦然)中时,可维持运送腔室206及MOCVD腔室202处于相同真空程度下。随后,当将基板自运送腔室206运送至装载锁定腔室208或批量装载锁定腔室209(或反之亦然)时,尽管装载锁定腔室208或批量装载锁定腔室209的真空程度与MOCVD腔室202的真空程度可能不同,但是运送腔室真空程度亦可匹配装载锁定腔室208或批量装载锁定腔室209的真空程度。因此,可调整运送腔室的真空程度。在某些实施例中,在高纯度惰性气体环境(诸如,高纯度N2环境)中运送基板。在一实施例中,在具有多于90%N2的环境中运送基板。在某些实施例中,在高纯度NH3环境中运送基板。在一实施例中,在具有多于90%NH3的环境中运送基板。在某些实施例中,在高纯度H2环境中运送基板。在一实施例中,在具有多于90%H2的环境中运送基板。
在处理系统200中,机械手组件将装载有基板的基板托运板212运送至单一MOCVD腔室202中以进行沉积。在一实施例中,基板托运板212可在200mm至750mm范围内。基板载具可由包括SiC或涂覆SiC石墨的各种材料形成。在一实施例中,托运板212包含碳化硅材料。在一实施例中,托运板212具有约1,000cm2或更大的表面积,较佳地为2,000cm2或更大且更佳地为4,000cm2或更大。在完成所有沉积步骤或一些沉积步骤之后,将托运板212自MOCVD腔室202运送回装载锁定腔室208。在一实施例中,随后朝向装载台210释放托运板212。在另一实施例中,在MOCVD腔室202中进行进一步处理之前,可将托运板212储存于装载锁定腔室208或批量装载锁定腔室209中。2008年1月31日提交的题为PROCESSING SYSTEM FOR FABRICATINGCOMPOUND NITRIDE SEMICONDUCTOR DEVICES的美国专利申请S/N.12/023,572(现公开为US 2009-0194026)中描述了一示例性系统,所述申请以引用的方式全部并入本文。
系统控制器260控制处理系统200的活动及操作参数。系统控制器260包括:计算机处理器及耦接至所述处理器的计算机可读存储器。处理器执行系统控制软件,诸如储存于存储器中的计算机程序。2006年4月14日提交的题为EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES的美国专利申请S/N.11/404,516(现公开为US 2007-024,516)中进一步描述了处理系统的方面及使用方法,所述申请以引用的方式全部并入本文。
图3为根据本文所描述的实施例的MOCVD腔室的横截面示意图。MOCVD腔室202包含:腔室主体302;用于输送前体气体、载气、清洁气体和/或冲洗气体的化学品输送模块303;具有等离子体源的远程等离子体系统326;基座或基板支撑件314及真空系统312。腔室202包括:封闭处理容积308的腔室主体302。喷头组件304被安置于处理容积308的一端,且托运板212被安置于处理容积308的另一端。可将托运板212安置于基板支撑件314上。基板支撑件314具有z方向举升能力用于在垂直方向上移动,如箭头315所展示。在一实施例中,所述z方向举升能力可用以将基板支撑件向上移动并使基板支撑件更靠近喷头组件304,或将基板支撑件向下移动并使基板支撑件更加远离喷头组件304。在某些实施例中,基板支撑件314包含:加热元件,例如,电阻加热元件(未图示),以控制基板支撑件314的温度且因此控制安置于基板支撑件314上的托运板212及基板340的温度。
在一实施例中,喷头组件304具有:与化学品输送模块303耦接的第一处理气体通道304A,用以将第一前体或第一工艺气体混合物输送至处理容积308;与化学品输送模块303耦接的第二处理气体通道304B,用以将第二前体或第二工艺气体混合物输送至处理容积308;以及与热交换系统370耦接的温度控制通道304C,用以将热交换流体流至喷头组件304从而帮助调节喷头组件304的温度。适当热交换流体包括(但不限于):水、水基乙二醇混合物、全氟多醚(例如,Galden流体)、油基热运送流体,或类似流体。在一实施例中,在处理期间,可经由与喷头组件304中的第一处理气体通道304A耦接的气体管道346,将第一前体或第一工艺气体混合物输送至处理容积308;且经由与第二气体处理通道304B耦接的气体管道345,将第二前体或第二工艺气体混合物输送至处理容积308。在使用远程等离子体源的实施例中,可经由管道304D将等离子体输送至处理容积308。应注意,工艺气体混合物或前体可包含:一种或多种前体气体或工艺气体以及可与前体气体混合的载气及掺杂气体。在2007年10月16日提交的题为MULTI-GAS STRAIGHT CHANNELSHOWERHEAD的美国专利申请S/N.11/873,132(现公开为US2009-0098276)、在2007年10月16日提交的题为MULTI-GAS SPIRALCHANNEL SHOWERHEAD的美国专利申请S/N.11/873,141(现公开为US2009-0095222),以及在2007年10月16日提交的题为MULTI-GASCONCENTRIC INJECTION SHOWERHEAD的美国专利申请S/N.11/873,170(现公开为US 2009-0095221)中描述了可适于操作本文所描述的实施例的示例性喷头,所有所述提交案皆以引用的方式全部并入本文。
下部罩319被安置于下部容积310的一端,且托运板212被安置于下部容积310的另一端。虽然托运板212被展示处于工艺位置,但是可移至下部位置,在所述下部位置上(例如)可装载或卸载基板340。可将排气环320绕托运板212的周边安置,以帮助防止在下部容积310中发生沉积且还帮助将排气自腔室202导向排气口309。下部罩319可由透明材料(诸如,高纯度石英)制成以允许光通过,进而辐射加热基板340。辐射加热可由安置于下部罩319下方的多个内部灯321A及外部灯321B提供,且反射器366可用以帮助控制腔室202暴露至由内部灯321A及外部灯321B所提供的辐射能量。亦可使用附加的一系列灯来对基板340进行更精细的温度控制。
在某些实施例中,可将冲洗气体(例如,含氮气体)自喷头组件304和/或自安置于托运板212下方并靠近腔室主体302底部的进气口或进气管(未图示)输送入腔室202。冲洗气体进入腔室202的下部容积310且向上流过托运板212及排气环320且流入绕环形排气通道305安置的多个排气口309中。排气管道306将环形排气通道305连接至包括真空泵307的真空系统312。可藉由使用阀系统来控制腔室202的压力,所述阀系统控制自环形排气通道汲取排气的速率。在2008年1月31日提交的题为CVD APPARATUS的美国专利申请S/N.12/023,520(公开为US 2009-0194024)中描述了MOCVD腔室的其他方面,所述申请以引用的方式全部并入本文。
在某些实施例中,可将清洁气体(例如,含卤素气体,诸如氯气)自喷头组件304和/或自安置于靠近处理容积308的进气口或进气管(未图示)输送入腔室202。清洁气体进入腔室202的处理容积308以自腔室组件(诸如,基板支撑件314及喷头组件304)移除沉积,且经由绕环形排气通道305安置的多个排气口309退出腔室。
化学品输送模块303向MOCVD腔室202供应化学品。可自化学品输送系统经由供应线来供应活性气体、载气、冲洗气体及清洁气体,并将这些气体供应到腔室202中。在一实施例中,经由供应线路来供应气体,并将气体供应到气体混合箱中,在气体混合箱中将气体混合在一起并输送至喷头组件304。大体而言,用于每一气体的供应线路包括:关闭阀,可用以自动地或人工地关闭进入关闭阀关联线路的气体流;以及质量流量控制器或测量经由供应线路的气体流或液体流的其他类型的控制器。用于每一气体的供应线路亦可包括:浓度监控器,用于监控前体浓度且提供实时反馈;可包括背压调节器以控制前体气体浓度;可使用阀开关控制器来达成快速及准确的阀开关能力;气体供应线路中的湿度感测器测量水位且可向系统软件提供反馈,所述系统软件又可向控制器提供警告/警报。亦可加热气体供应线路,以防止前体及清洁气体在供应线路中冷凝。取决于所使用的工艺,一些源可为液体而非气体。当使用液体源时,化学品输送模块包括液体注入系统或其他适当机构(例如,起泡器)以汽化液体。如本领域技术人员应了解的,随后通常将来自液体的蒸汽与载气混合。
远程微波等离子体系统326可制造用于选定应用(诸如,腔室清洁或自工艺基板蚀刻残余物)的等离子体。经由管道来发送等离子体物质,从而经由管道304D来将等离子体物质经由喷头组件304分散至MOCVD腔室202,等离子体物质在远程等离子体系统326中由经由输入线路供应的前体制得。用于清洁应用的前体气体可包括:含氯气体、含氟气体、含碘气体、含溴气体、含氮气体和/或其他活性元素。在层沉积工艺期间,通过将适当沉积前体气体流入远程微波等离子体系统326,远程微波等离子体系统326亦可适于沉积CVD层。在一实施例中,使用远程微波等离子体系统326来将活性氯物质输送至处理容积308,用于清洁MOCVD腔室202的内部。
可藉由经由腔室的侧壁中的通道(未图示)循环热交换液体,来进一步控制MOCVD腔室202的侧壁及周围结构(诸如,排气过道)的温度。热交换液体可用以取决于所期望的效应来加热或冷却腔室侧壁。举例而言,在热沉积工艺期间,热液体可帮助维持均匀热梯度,而在原位等离子体工艺期间,冷液体可用以自系统移除热,或限制在腔室的侧壁上形成沉积产物。此加热(称为由“热交换器”进行的加热)有利地减少或消除非期望的反应产物的冷凝,并改良工艺气体的挥发性产物及其他污染物的消除,所述挥发性产物及其他污染物如果将在冷的真空通道的侧壁上冷凝且在无气流时段期间移回处理腔室,则可污染所述工艺。
分割工艺:
图4为根据本文所描述的实施例的可用于形成单一腔室的复合氮化物半导体的工艺400的流程图。在框404,所述工艺始于将基板运送至基板处理腔室中。应了解,“一基板”包括“一个或多个基板”。在一实施例中,基板处理腔室类似于MOCVD腔室202。为了沉积氮化物结构,基板可包含蓝宝石,但是可使用的其他材料包括:SiC、Si、尖晶石、镓酸锂、ZnO及其他物质。在框408,清洁所述基板,此后在框412,可设置适于氮化物层生长的工艺参数。这种工艺参数可包括:用以定义处理腔室内适于热沉积氮化物层的环境的温度、压力及类似参数。在框416,在基板上提供前体流,进而在框420,在基板上沉积III1-N结构。前体包括:氮源及第一第III族元素(诸如Ga)的源。举例而言,适合的氮前体包括NH3,且适合的Ga前体包括三甲基镓(“TMG”)及三乙基镓(TEG)。第一第III族元素有时可包含多个不同的第III族元素(诸如Al及Ga),在此状况下适合的Al前体可为三甲基铝(“TMA”)。在另一示例中,多个不同的第III族元素包括In及Ga,在此状况下适合的In前体可为三甲基铟(“TMI”)。亦可包括选自由以下组成的群组的一个或多个载气流:氩、氮、氢、氦、氖、氙及组合。
在框420沉积III1-N结构之后,在框424终止前体流。在框426,将基板自处理腔室移除而不使基板暴露于大气。将基板自处理腔室移除而不制动真空,防止了经沉积的III1-N结构暴露于氧及碳,氧及碳充当电活性掺杂剂/杂质。在框428,执行清洁工艺,在所述清洁工艺中将处理腔室的内部暴露于第一清洁气体,以自腔室及腔室组件移除污染物(诸如含镓沉积物)。在一实施例中,清洁工艺可包含以下步骤:将腔室暴露于蚀刻剂气体,蚀刻剂气体自腔室侧壁及表面热蚀刻沉积物。可任选地,在清洁工艺期间可将处理腔室暴露于等离子体。用于清洁工艺的清洁气体可包括含卤素气体,诸如氟气(F2)、氯气(Cl2)、溴气(Br2)、碘气(I2)、HI气、HCl气、HBr气、HF气、NF3和/或其他活性元素。亦可包括选自由以下组成的群组的一个或多个载气流:氩、氮、氢、氦、氖、氙及其组合。在一实施例中,清洁工艺包含以下步骤:将腔室暴露于等离子体。在一实施例中,等离子体由远程等离子体产生器产生。在另一实施例中,等离子体原位产生。
在清洁之后,在框430,将基板运送回处理腔室,且在同一处理腔室中执行后续沉积步骤。在框432,将III2-N层沉积于基板上的III1-N层上。
藉由设置此沉积的适合处理参数(诸如,温度、压力及类似参数)来执行III2-N层的沉积。在一些实施例中,III2-N结构包括III1-N层未包含的第III族元素,但是III1-N层及III2-N层可另外包含相同第III族元素。举例而言,在III1-N层为GaN的状况下,III2-N层可为AlGaN层或InGaN层。尽管在这些示例中III2-N层具有三元组合物,但并非为必必需且III2层更常见地包括诸如四元AlInGaN层的此类其他组合物。类似地,在III1-N层为AlGaN的实施例中,III2-N层可为AlInGaN层上的InGaN层。用于沉积III2-N层的适合前体可与用于沉积III1-N层的前体类似,亦即,NH3为适合的氮前体、TMG为适合的镓前体、TEG为适合的镓前体、TMA为适合的铝前体且TMI为适合的铟前体。亦可包括选自由以下组成的群组的一个或多个载气流:氩、氮、氢、氦、氖、氙及其组合。
在框432沉积III2-N层之后,在框438终止前体流。可任选地,在框440,将一个或多个基板自处理腔室移除,而不使所述一个或多个基板暴露于大气。将一个或多个基板自处理腔室移除而不制动真空,可防止经沉积的III2-N结构暴露于氧及碳,氧及碳充当电活性掺杂剂/杂质。在框442,可执行可任选清洁工艺,其中将处理腔室的内部暴露于第二清洁气体,以自腔室及腔室组件移除污染物(诸如,含第III族元素的沉积物)。
在框444,在真空下将基板运送至基板处理腔室中。在框444将一个或多个基板运送至处理腔室中之后,在处理腔室中执行后续沉积步骤。
在框446,可设置适于生长III3-N层的工艺参数。藉由设置此沉积的适合处理参数(诸如,温度、压力及类似参数)来执行III3-N层的沉积。在一些实施例中,III3-N结构包括III1-N层或III2-N层皆不包含的第III族元素,但是III1-N层、III2-N层及III3-N层可另外包含相同的第III族元素。举例而言,在III1-N层为GaN的状况下,III2-N层可为InGaN层,且III3-N可为AlGaN层。尽管在这些示例中III3-N层具有三元组合物,但并非为必需且III3-N层更常见地包括如四元AlInGaN层的此类其他组合物。用于沉积III3-N层的适合前体可与用于沉积III1-N层及III2-N层的前体类似,亦即,NH3为适合的氮前体、TMG为适合的镓前体、TEG为适合的镓前体、TMA为适合的铝前体且TMI为适合的铟前体。亦可包括选自由以下组成的群组的一个或多个载气流:氩、氮、氢、氦、氖、氙及其组合。
可任选地,在沉积III3-N层结构之后,可设置适于生长III4-N层的工艺参数。这种工艺参数可包括:温度、压力及类似参数,以定义处理腔室内适于热沉积氮化物层的环境。提供III4及氮前体流,以在基板上沉积III4-N结构。前体包括:氮源及第四第III族元素(诸如Ga)的源。举例而言,适合的氮前体包括NH3,且适合的Ga前体包括三甲基镓(“TMG”)及三乙基镓(TEG)。亦可包括选自由以下组成的群组的一个或多个载气流:氩、氮、氢、氦、氖、氙及其组合。
在框448,终止前体流。在框450,将基板自处理腔室移除而不使基板暴露于大气。
在框452,执行可任选沉积后腔室清洁,其中将处理腔室的内部暴露于第三清洁气体,以自腔室及腔室组件移除污染物及含第III族元素的沉积物,此后在框454处理附加基板。
用于沉积III1-N层、III2-N层、III3-N层及III4-N层的处理条件可取决于特定应用而有所改变。以下表格提供示例性处理条件及前体流率,所述处理条件及前体流率通常适于藉由使用上文所描述的器件来生长氮化物半导体结构:
  参数  值
  温度(℃)  500-1,200
  压力(托耳)  0.001-760
  TMG流量(sccm)  0-50
  TEG流量(sccm)  0-50
  TMA流量(sccm)  0-50
  TMI流量(sccm)  0-50
  PH3流量(sccm)  0-1,000
  AsH3流量(sccm)  0-1,000
  NH3流量(sccm)  100-100,000
  N2流量(sccm)  0-100,000
  H2流量(sccm)  0-100,000
如从先前描述显而易见,在任何特定工艺中工艺可不使用所有的前体流。举例而言,在一实施例中,生长GaN可使用TMG流、NH3流及N2流;在另一实施例中,生长AlGaN可使用TMG流、TMA流、NH3流及H2流,其中TMA与TMG的流率比被选定为提供沉积层所期望的Al∶Ga的化学计量比;且在又一实施例中,生长InGaN可使用TMG流、TMI流、NH3流、N2流及H2流,其中TMI与TMG的流率比被选定为提供沉积层所期望的In∶Ga化学计量比。
示例:
提供以下示例以说明可如何使用通用工艺来制造结合处理系统200所描述的复合氮化物结构。所述示例涉及LED结构,所述LED结构的制造藉由使用具有MOCVD腔室202的处理系统200来执行。在一实施例中,LED结构与结构100类似。可在MOCVD腔室202中执行清洁及初始GaN层的沉积,以及剩余InGaN层、AlGaN层及GaN接触层的沉积。
工艺始于将含有一个或多个基板340的托运板212运送至MOCVD腔室202中。MOCVD腔室202被配置成提供GaN的快速沉积。使用MOCVD前体气体,在MOCVD腔室202中的基板上生长预处理工艺和/或缓冲层。此后生长厚u-GaN/n-GaN层,这在此示例中使用MOCVD前体气体来执行。
在沉积u-GaN及n-GaN层之后,将托运板212自MOCVD腔室202移出且移入装载锁定腔室208或批量装载锁定腔室209,而不破坏真空,其中所述运送经由运送腔室206在高纯度N2气氛中发生。在移除托运板212之后,用氯气清洁MOCVD腔室202。在一实施例中,在清洁腔室之前将空的托运板212插入MOCVD腔室202,且当清洁MOCVD腔室202时将空的托运板212暴露于清洁气体。在清洁MOCVD腔室202之后,将托运板212重新插入MOCVD腔室202且将InGaN多量子阱(MQW)活性层生长于u-GaN及n-GaN层上。
可任选地,在一实施例中,在生长MQW活性层之后,将托运板212自MOCVD腔室202中移出且移入装载锁定腔室208或批量装载锁定腔室209,而不破坏真空,其中所述运送经由运送腔室206在高纯度N2气氛中发生。在移除托运板212之后,用氯气清洁MOCVD腔室202。
在清洁MOCVD腔室202之后,将托运板212重新插入MOCVD腔室202且在InGaN MQW活性层上沉积p-AlGaN层及p-GaN层。
随后将完成的结构自MOCVD腔室202移出,以使得MOCVD腔室202可接收具有未处理基板的另一托运板212。在一实施例中,可在处理附加基板之前将MOCVD腔室202暴露于沉积后腔室清洁。可将完成的结构运送至批量装载锁定腔室209以储存,或可经由装载锁定腔室208及装载台210将完成的结构退出处理系统200。
在一实施例中,可将多个托运板212分别移入MOCVD腔室202并自MOCVD腔室202移出,以沉积GaN层,然后当清洁MOCVD腔室时可将每一托运板212储存于批量装载锁定腔室209和/或装载锁定腔室208中。在清洁MOCVD腔室之后,可将每一托运板212分别运送至MOCVD腔室202,以沉积InGaN多量子阱(MQW)活性层。
在某些实施例中,可能需要与腔室一起清洁托运板212。在将托运板212自MOCVD腔室202移除之后,将基板340自托运板212移除,且将托运板重新插入MOCVD腔室202以与MOCVD腔室202一起清洁。
示例性清洁工艺:
图5为根据本文所描述的实施例的可用于清洁MOCVD腔室的清洁工艺500的流程图。在框502,冲洗/抽空处理腔室以移除产生于沉积工艺期间的污染物。框502的冲洗/抽空工艺与以下在框506及框512中所描述的冲洗/抽空工艺类似。如在框504中所展示地,使清洁气体流入处理腔室。清洁气体可包括任何适合的含卤素气体。适合的含卤素气体包括:氟气、氯气、溴气、碘气、卤化物及其组合,卤化物包括HI气、HCl气、HBr气、HF气、NF3、其他活性元素。在一实施例中,清洁气体为氯气(Cl2)。在一实施例中,处理腔室为与腔室202类似的MOCVD腔室。
在某些实施例中,将本发明中的流率表示为sccm每内部腔室容积。将内部腔室容积定义为气体可占据的腔室的内部的容积。举例而言,腔室202的内部腔室容积为由腔室主体302所限定的容积减去其中喷头组件304及基板支撑组件314所占据的容积。在某些实施例中,可使清洁气体以约500sccm至约10,000sccm的流率流入腔室。在一实施例中,使清洁气体以约1,000sccm至约4,000sccm的流率流入腔室。在一实施例中,使清洁气体以约2,000sccm的流率流入腔室。在一实施例中,可使清洁气体以约12.5sccm/L至约250sccm/L的流率流入腔室。在一实施例中,使清洁气体以约25sccm/L至约100sccm/L的流率流入腔室。在一实施例中,使清洁气体以约50sccm/L的流率流入腔室。
在一实施例中,清洁气体可与载气同向流动。载气可为选自由以下组成的群组的一个或多个气体:氩、氮、氢、氦、氖、氙及组合。在一实施例中,使载气以约500sccm至约3,000sccm的流率流入腔室。在一实施例中,使载气以约1,000sccm至约2,000sccm的流率流入腔室。在一实施例中,使载气以约12.5sccm/L至约75sccm/L的流率流入腔室。在一实施例中,使载气以约25sccm/L至约50sccm/L的流率流入腔室。在一实施例中,腔室的总压力约为0.001托耳至约500托耳。在一实施例中,腔室的总压力约为50托耳至约200托耳。在一实施例中,腔室的总压力约为100托耳。较低压力通常利于保持GaCl3处于气相。在一实施例中,基座的温度约为500℃至约700℃。在一实施例中,基座的温度约为550℃至约700℃。在一实施例中,基座的温度约为650℃。在一实施例中,喷头的温度约为100℃至约200℃。可使清洁气体流入处理腔室,并历时约2分钟至约10分钟的时间段。在一实施例中,可将清洁气体流入处理腔室并历时约5分钟的时间段。应了解,可应用若干清洁循环,而在清洁循环之间执行可任选冲洗工艺。清洁气体流动的时间段通常应足够长以自腔室的表面及包括喷头的腔室组件的表面移除含镓沉积物(诸如,镓及GaN沉积物)。在一实施例中,载气可与清洁气体一起移动。载气可为选自由以下组成的群组的一个或多个气体:氩、氮(N2)、氦、氖及氙,以及其他气体。在一实施例中,清洁气体为含等离子体清洁气体。在一实施例中,含等离子体清洁气体使用远程等离子体产生器远程地形成。在一实施例中,含等离子体气体在处理腔室中原位形成。
参阅框506,在停止清洁气体流或脉冲之后,冲洗/抽空处理腔室以移除产生于清洁工艺期间的清洁副产物。冲洗气体可为选自由以下组成的群组的一个或多个冲洗气体:氩、氮、氢、氦、氖、氙,且亦可包括以上的组合。在一实施例中,冲洗气体可与框504的可任选载气相同。在一实施例中,藉由以约1,000sccm至约7,000sccm的流速提供冲洗气体来冲洗处理腔室。在一实施例中,以约2,000sccm至约4,000sccm的流率向处理腔室提供冲洗气体。在一实施例中,藉由以约25sccm/L至约175sccm/L的流率提供冲洗气体来冲洗处理腔室。在一实施例中,以约50sccm/L至约160sccm/L的流率向处理腔室提供冲洗气体。在一实施例中,可将腔室维持处于约0.001托耳至约10托耳的总腔室压力下。在一实施例中,腔室的总压力可约为5托耳。在一实施例中,基座的温度约为600℃至约1,000℃。在一实施例中,基座的温度约为900℃。在一实施例中,喷头的温度低于100℃。在一实施例中,可使冲洗气体流入处理腔室,并历时约5分钟的时间段。冲洗气体流动的时间段通常应足够长以自处理腔室移除框504的清洁工艺的副产物。
另外或替代引入冲洗气体,可使处理腔室减压,以自处理腔室移除残余清洁气体以及任何副产物。减压工艺可使腔室压力在约0.5秒至约20秒的时间段内降低至约0.001托耳至约40托耳的范围内的压力。
在框504中将载气与清洁气体结合使用的实施例中,可藉由停止清洁气体流而继续流动载气来执行框506的冲洗工艺。因此,在框506的冲洗工艺中,允许载气充当冲洗气体。
如框508所展示地,在框506冲洗/抽空处理腔室之后,使可选清洁气体流入处理腔室。清洁气体可包括含卤素气体,诸如氟气、氯气、碘气、溴气、HI气、HCl气、HBr气、HF气、NF3、其他活性元素及组合。在一实施例中,清洁气体为氯气(Cl2)。在一实施例中,框508中的清洁气体与用于框504中的清洁气体相同。在另一实施例中,用于框504及框508中的清洁气体为不同的清洁气体。
在一实施例中,可使清洁气体以约1,000sccm至约10,000sccm的流率流入腔室。在一实施例中,可使清洁气体以约3,000sccm至约5,000sccm的流率流入腔室。在一实施例中,可使清洁气体以约4,000sccm的流率流入处理腔室。在一实施例中,可使清洁气体以约25sccm/L至约250sccm/L的流率流入腔室。在一实施例中,可使清洁气体以约75sccm/L至约125sccm/L的流率流入腔室。在一实施例中,可使清洁气体以约100sccm/L的流率流入处理腔室。如上文所论述,可任选地使载气与清洁气体一起同向流动。载气可为选自由以下组成的群组的一个或多个气体:氩、氮、氢、氦、氖、氙及组合。在一实施例中,使载气以约1,000sccm至约5,000sccm的流率流入腔室。在一实施例中,使载气以约2,000sccm至约3,000sccm的流率流入腔室。在一实施例中,使载气以约25sccm/L至约125sccm/L的流率流入腔室。在一实施例中,使载气以约50sccm/L至约75sccm/L的流率流入腔室。在一实施例中,可使腔室维持处于约300托耳至约700托耳的总腔室压力下。在一实施例中,可使腔室维持处于约600托耳的总腔室压力下。在一实施例中,基座的温度约为400℃至约600℃。在一实施例中,基座的温度约为420℃。在一实施例中,喷头的温度高于200℃。在一实施例中,喷头温度高于260℃,例如,约260℃至约400℃。可使清洁气体流入处理腔室,并历时约2分钟至约10分钟的时间段。在一实施例中,可使清洁气体流入处理腔室,并历时约3分钟的时间段。
如框510所展示地,在使清洁气体流入处理腔室之后,可执行可任选浸泡工艺。在浸泡工艺期间,降低清洁气体的流动,而基座温度、喷头温度及腔室压力可维持不变。在一实施例中,相对于框508中的流率,清洁气体的流率可降至约250sccm与约1,000sccm之间。在一实施例中,清洁气体的流率可降低至约500sccm。在一实施例中,相对于框508中的流率,清洁气体的流率可降至6.25sccm/L与约25sccm/L之间。在一实施例中,清洁气体的流率可降至约12.5sccm/L。在一实施例中,腔室的总压力约为300托耳至约700托耳。在一实施例中,腔室的总压力约为600托耳。在一实施例中,基座温度约为400℃至约600℃。在一实施例中,基座温度约为420℃。在一实施例中,喷头的温度高于200℃。在一实施例中,喷头温度高于260℃,例如,约260℃至约400℃。可执行浸泡工艺历时约1分钟至约5分钟的时间段。在一实施例中,可执行浸泡工艺历时约2分钟的时间段。
参阅框512,在可任选浸泡工艺之后,可冲洗/抽空处理腔室以移除产生于浸泡工艺及清洁工艺期间的清洁副产物。冲洗气体可为选自由以下组成的群组的一个或多个气体:氩、氮、氢、氦、氖、氙及组合。在一实施例中,冲洗气体可与框510的可任选载气相同。在一实施例中,藉由以约1,000sccm至约4,000sccm的流率提供冲洗气体来冲洗处理腔室。在一实施例中,可使冲洗气体以约3,000sccm的流率流入处理腔室。可任选地,在冲洗工艺期间,可使清洁气体以约2,000sccm至约6,000sccm的流率流入腔室。在一实施例中,可使清洁气体以约4,000sccm的流率流入腔室。在一实施例中,藉由以约25sccm/L至约100sccm/L的流率提供冲洗气体来冲洗处理腔室。在一实施例中,可使冲洗气体以约75sccm/L的流率流入处理腔室。可任选地,在冲洗工艺期间,可使清洁气体以约50sccm/L至约150sccm/L的流率流入腔室。在一实施例中,可使清洁气体以约100sccm/L的流率流入腔室。在一实施例中,使清洁气体与冲洗气体同向流动。在一实施例中,总腔室压力约为0.001托耳至约10托耳。在一实施例中,总腔室压力约为5托耳。在一实施例中,基座温度约为400℃至约600℃。在一实施例中,基座温度约为430℃。在一实施例中,喷头温度高于200℃。在一实施例中,喷头温度高于260℃,例如,约为260℃至约400℃。可执行浸泡工艺历时约1分钟至约5分钟的时间段。在一实施例中,可执行浸泡工艺历时约2分钟的时间段。在一实施例中,可使冲洗气体流入处理腔室,并历时约5分钟的时间段。冲洗气体流动的时间段通常应足够长以自处理腔室移除框508的清洁工艺的副产物及框510的浸泡工艺的副产物。
在一实施例中,可在高温(>1,000℃)下使用含氮气体(诸如,氨气(NH3))来执行框502、框506及框512的冲洗工艺中的任一冲洗工艺或所有冲洗工艺,以减少清洁工艺后处理腔室中残余GaCl3量。可任选地,可在任何上述冲洗工艺之后,在约0.001托耳至约5托耳的低压下,在约950℃至约1,050℃的高温下,在含氮和/或含氢气氛中执行腔室烘干工艺,以确保来自腔室清洁工艺的任何残余沉积物皆完全离开腔室。2008年10月2日提交的题为METHOD FORDEPOSITING GROUP III/V COMPOUNDS的美国专利申请S/N.12/244,440(现公开为US 2009-0149008)中描述了其他方面或示例性清洁工艺,所述申请以引用的方式全部并入本文。
图6A为展示使用现有技术工艺沉积的In的跨基板表面的铟(In)分布的In X射线萤光的图表。图6B为展示根据本文所描述实施例沉积的In的跨基板表面的In分布的In X射线萤光的图表。参阅图6A及图6B,x轴表示以毫米(mm)计的相对于基板的中心的位置,且y轴表示铟X射线萤光强度。用以获得图6A中所描绘结果的现有技术工艺为原位工艺,在原位工艺中使GaN层及InGaN层沉积于同一腔室中,而未利用本文所描述的分割工艺方法。用以获得图6B中所描绘结果的工艺藉由使用原位工艺来执行,其中在形成GaN层之后且在同一腔室中沉积InGaN层之前,执行腔室清洁。与藉由使用现有技术工艺所获得的图6A中描绘的结果相比,图6B中描绘的结果展示了单一腔室分割工艺跨基板有更均匀分布的铟,在单一腔室分割工艺中在高温GaN沉积(uGaN与nGaN)之后MQW生长之前进行腔室清洁。
尽管上文针对本发明的实施例,但是在不脱离本发明基本范畴的情况下,可设计本发明的其他及另外实施例,且本发明的范畴经由所附权利要求确定。
权利要求书(按照条约第19条的修改)
1.一种用于制造复合氮化物结构的方法,包含以下步骤:
使用第一第III族前体及第一含氮前体,在处理腔室中将第一层沉积于一个或多个基板上,所述第一第III族前体包含第一第III族元素,其中所述第一层包含氮及所述第一第III族元素;
在所述沉积第一层之后,将所述一个或多个基板自所述处理腔室移除,而不使所述一个或多个基板暴露于大气;
在将所述一个或多个基板自所述处理腔室移除之后,使第一清洁气体流入所述处理腔室以移除沉积在所述处理腔室表面上的所述第一层的至少一部分;
在移除所述第一层的至少一部分之后,将所述一个或多个基板运送至所述处理腔室中,而不使所述一个或多个基板暴露于大气;以及
使用第二第III族前体及第二含氮前体,在所述处理腔室中将第二层沉积于所述第一层上,其中所述第二第III族前体包含所述第一第III族前体未包含的第二第III族元素。
2.如权利要求1所述的方法,进一步包含以下步骤:
在所述沉积第二层之后,将所述一个或多个基板自所述处理腔室移除,而不使所述一个或多个基板暴露于大气;
在所述沉积第二层之后,在所述将所述一个或多个基板自所述处理腔室移除之后,使第二清洁气体流入所述处理腔室以移除沉积在所述处理腔室表面上的所述第二层的至少一部分;
在所述使第二清洁气体流入所述处理腔室之后,将所述一个或多个基板运送至所述处理腔室中而不使所述一个或多个基板暴露于大气;
使用第三第III族前体及第三含氮前体,在所述处理腔室中将第三层沉积于所述一个或多个基板上,所述第三第III族前体包含第三第III族元素,所述第三层包含氮及所述第三第III族元素;
使用第四第III族前体及第四含氮前体,在所述处理腔室中将第四层沉积于所述一个或多个基板上,所述第四第III族前体包含第四第III族元素,所述第四层包含氮及所述第四第III族元素;
在所述沉积第四层之后,将所述一个或多个基板自所述处理腔室移除,而不使所述一个或多个基板暴露于大气;以及
在所述沉积第四层之后,在将所述一个或多个基板自所述处理腔室移除之后,使第三清洁气体流入所述处理腔室以执行沉积后清洁,从而移除沉积在所述处理腔室的表面上的所述第四层的至少一部分。
3.如权利要求2所述的方法,其特征在于,所述第一清洁气体、所述第二清洁气体以及所述第三清洁气体为含卤素气体,每一清洁气体分别选自由以下组成的群组:氟气、氯气、溴气、碘气、碘化氢(HI)气、氯化氢(HCl)气、溴化氢(HBr)气、氟化氢(HF)气及其组合。
4.如权利要求3所述的方法,其特征在于:
所述第一第III族元素为镓;
所述第二第III族元素为铟;
所述第三第III族元素为铝;
所述第四第III族元素为镓;
所述第一层包含氮化镓(GaN)层;
所述第二层包含氮化铟镓(InGaN)层;
所述第三层包含p型掺杂氮化铝镓(AlGaN)层;及
所述第四层包含p型掺杂GaN层。
5.如权利要求1所述的方法,其特征在于,所述第一层的至少一部分主要包含富含Ga的氮化镓(GaN),所述第一层的至少一部分沉积在包括喷头的所述处理腔室的组件上。
6.如权利要求1所述的方法,进一步包含以下步骤:
在所述将所述一个或多个基板自所述处理腔室移除之后且在所述使第一清洁气体流入所述处理腔室之前,冲洗所述处理腔室;以及
在所述使第一清洁气体流入所述处理腔室之后,冲洗所述处理腔室。
7.如权利要求2所述的方法,进一步包含以下步骤:
在所述使第三清洁气体流入所述处理腔室之后,冲洗所述处理腔室;以及
在冲洗所述处理腔室之后,在约0.001托耳至约5托耳的腔室压力下,在约950℃至约1,050℃的温度下,在含氮和/或含氢气氛中执行腔室烘干工艺。
8.一种用于制造复合氮化物结构的方法,包含以下步骤:
将一个或多个基板安置于基座上,其中所述基座位于包含喷头的金属有机化学气相沉积(MOCVD)腔室的处理区域中;
藉由使第一含镓前体及第一含氮前体经由所述喷头流入所述MOCVD腔室,在所述MOCVD腔室中使用热化学气相沉积工艺将氮化镓(GaN)层沉积于所述一个或多个基板上;
将所述一个或多个基板自所述MOCVD腔室移除,而不使所述一个或多个基板暴露于大气;
使氯气流入所述处理腔室以自所述喷头移除所述GaN层的至少一部分;
在自所述喷头移除所述GaN层的一部分之后,将所述一个或多个基板运送至所述MOCVD腔室中;以及
藉由使第二含镓前体、含铟前体及第二含氮前体流入所述MOCVD腔室,在所述MOCVD腔室中使用热化学气相沉积工艺,将氮化铟镓(InGaN)层沉积于所述GaN层上。
9.如权利要求8所述的方法,进一步包含以下步骤:
在将所述一个或多个基板运送至所述MOCVD腔室中之前,使第一冲洗气体流入所述MOCVD腔室;
在使第一冲洗气体流入所述MOCVD腔室之后,使清洁气体流入所述MOCVD腔室以自所述喷头移除所述GaN层的至少另一部分;以及
在使清洁气体流入所述MOCVD腔室之后,使第二冲洗气体流入所述MOCVD腔室。
10.如权利要求9所述的方法,其特征在于,在基座温度为约600℃至约700℃且喷头温度为约100℃至约200℃的情况下,在约0.001托耳至100托耳的总腔室压力下,以约1,000sccm至约4,000sccm的流率使所述氯气流入所述MOCVD腔室。
11.如权利要求10所述的方法,其特征在于,在基座温度约900℃且喷头温度低于100℃的情况下,在约0.001托耳至约10托耳的总腔室压力下,以约1,000sccm至约5,000sccm的流率使所述第一冲洗气体流入所述MOCVD腔室;
在基座温度约400℃至约600℃且喷头温度约260℃至约400℃的情况下,在约300托耳至约700托耳的总腔室压力下,以约3,000sccm至约5,000sccm的流率使所述清洁气体流入所述MOCVD腔室;以及
在基座温度约400℃至约600℃且喷头温度高于200℃的情况下,在约0.001托耳至约10托耳的总腔室压力下,以约1,000sccm至约4,000sccm的流率提供所述第二冲洗气体。
12.如权利要求11所述的方法,其特征在于,所述自所述MOCVD腔室移除所述一个或多个基板包含以下步骤:在具有多于90%N2的气氛中将所述基板运送至装载锁定腔室。
13.一种用于制造复合氮化物装置的集成处理系统,包含:
金属有机化学气相沉积(MOCVD)腔室,可操作以使用热化学气相沉积工艺在一个或多个基板上形成氮化镓(GaN)层且在所述GaN层上形成多量子阱(MQW)层;以及
含卤素气源,耦接所述MOCVD腔室,所述含卤素气源可操作以使含卤素气体流入所述MOCVD腔室,从而在将所述MQW层形成于所述GaN层上之前自所述MOCVD腔室的一个或多个内表面移除所述GaN层的至少一部分,所述GaN层是在所述一个或多个基板上形成所述GaN层时沉积的,其中所述含卤素气体选自包含以下的群组:氟、氯、溴、碘、HI气、HCl气、HBr气、HF气、NF3及其组合。
14.如权利要求13所述的集成处理系统,进一步包含:
冲洗气源,耦接所述MOCVD腔室,所述冲洗气源可操作以使冲洗气体流入所述MOCVD腔室,从而在将所述MQW层形成于所述GaN层上之前自所述MOCVD腔室移除反应副产物,所述反应副产物由所述含卤素气体与所述GaN层的所述反应形成。
15.如权利要求13所述的集成处理系统,进一步包含:
运送区域,与所述MOCVD腔室形成可运送连通;
机械手组件,安置于所述运送区域中,以用于运送所述一个或多个基板而不使所述一个或多个基板暴露于大气;
装载锁定腔室,与所述运送区域形成可运送连通,其中运送一个或多个基板包含:在具有多于90%N2的环境中,将所述一个或多个基板自所述MOCVD腔室运送至装载锁定腔室,而不使所述基板暴露于大气。

Claims (15)

1.一种用于制造复合氮化物半导体结构的方法,包含以下步骤:
使用第一第III族前体及第一含氮前体,在处理腔室中使用热化学气相沉积工艺将第一层沉积于一个或多个基板上,所述第一第III族前体包含第一第III族元素,其中所述第一层包含氮及所述第一第III族元素;
在所述沉积第一层之后,将所述一个或多个基板自所述处理腔室移除,而不使所述一个或多个基板暴露于大气;
在所述沉积第一层之后,在将所述一个或多个基板自所述处理腔室移除之后,使第一清洁气体流入所述处理腔室以自所述处理腔室移除污染物;
在自所述处理腔室中移除污染物之后,将所述一个或多个基板运送至所述处理腔室中,而不使所述一个或多个基板暴露于大气;以及
使用第二第III族前体及第二含氮前体,在所述处理腔室中使用热化学气相沉积工艺,将第二层沉积于所述第一层上,其中所述第二第III族前体包含所述第一第III族前体未包含的第二第III族元素。
2.如权利要求1所述的方法,进一步包含以下步骤:
在所述沉积第二层之后,将所述一个或多个基板自所述处理腔室移除,而不使所述一个或多个基板暴露于大气;
在所述沉积第二层之后,在所述将所述一个或多个基板自所述处理腔室移除而不使所述一个或多个基板暴露于大气之后,使第二清洁气体流入所述处理腔室以自所述处理腔室移除污染物;
在所述使第二清洁气体流入所述处理腔室之后,将所述一个或多个基板运送至所述处理腔室中而不使所述一个或多个基板暴露于大气;
使用第三第III族前体及第三含氮前体,在所述处理腔室中使用热化学气相沉积工艺将第三层沉积于所述一个或多个基板上,所述第三第III族前体包含第三第III族元素,所述第三层包含氮及所述第三第III族元素;
使用第四第III族前体及第四含氮前体,在所述处理腔室中使用热化学气相沉积工艺将第四层沉积于所述一个或多个基板上,所述第四第III族前体包含第四第III族元素,所述第四层包含氮及所述第四第III族元素;
在所述沉积第四层之后,将所述一个或多个基板自所述处理腔室移除,而不使所述一个或多个基板暴露于大气;以及
在所述沉积第四层之后,在将所述一个或多个基板自所述处理腔室移除而不使所述一个或多个基板暴露于大气之后,使第三清洁气体流入所述处理腔室以执行沉积后清洁,从而自所述处理腔室移除污染物。
3.如权利要求2所述的方法,其特征在于,所述第一清洁气体、所述第二清洁气体以及所述第三清洁气体为含卤素气体,每一清洁气体分别选自由以下组成的群组:氟气、氯气、溴气、碘气、碘化氢(HI)气、氯化氢(HCl)气、溴化氢(HBr)气、氟化氢(HF)气及其组合。
4.如权利要求3所述的方法,其特征在于:
所述第一第III族元素为镓;
所述第二第III族元素为铟;
所述第三第III族元素为铝;
所述第四第III族元素为镓;
所述第一层包含GaN层;
所述第二层包含InGaN层;
所述第三层包含p型掺杂AlGaN层;及
所述第四层包含p型掺杂GaN层。
5.如权利要求1所述的方法,其特征在于,所述污染物主要包含富含Ga的GaN,所述污染物沉积在包括喷头的所述处理腔室的组件上。
6.如权利要求1所述的方法,进一步包含以下步骤:
在所述将所述一个或多个基板自所述处理腔室移除之后且在所述使第一清洁气体流入所述处理腔室以自所述处理腔室移除污染物之前,冲洗所述处理腔室以自所述处理腔室移除污染物;以及
在所述使第一清洁气体流入所述处理腔室以自所述处理腔室移除污染物之后,冲洗所述处理腔室以自所述处理腔室移除污染物。
7.如权利要求2所述的方法,进一步包含以下步骤:
在所述使第三清洁气体流入所述处理腔室以自所述处理腔室移除污染物之后,冲洗所述处理腔室以自所述处理腔室移除污染物;以及
在冲洗所述处理腔室之后,在约0.001托耳至约5托耳的腔室压力下,在约950℃至约1,050℃的温度下,在含氮和/或含氢气氛中执行腔室烘干工艺。
8.一种用于制造复合氮化物半导体结构的方法,包含以下步骤:
将一个或多个基板安置于基座上,其中所述基座位于包含喷头的金属有机化学气相沉积(MOCVD)腔室的处理区域中;
藉由使第一含镓前体及第一含氮前体经由所述喷头流入所述MOCVD腔室,在所述MOCVD腔室中使用热化学气相沉积工艺将氮化镓层沉积于所述基板上;
将所述一个或多个基板自所述MOCVD腔室移除,而不使所述一个或多个基板暴露于大气;
使氯气流入所述处理腔室以自所述喷头移除污染物;
在自所述喷头移除污染物之后,将所述一个或多个基板运送至所述MOCVD腔室中;以及
藉由使第二含镓前体、含铟前体及第二含氮前体流入所述MOCVD腔室,在所述MOCVD腔室中使用热化学气相沉积工艺,将InGaN层沉积于所述GaN层上。
9.如权利要求8所述的方法,进一步包含以下步骤:
在将所述一个或多个基板运送至所述MOCVD腔室中之前,使第一冲洗气体流入所述MOCVD腔室以自所述MOCVD腔室移除氯气及污染物副产物;
在冲洗所述MOCVD腔室之后,使清洁气体流入所述MOCVD腔室以自所述喷头移除污染物;以及
使第二冲洗气体流入所述MOCVD腔室以自所述MOCVD腔室移除所述清洁气体及污染物副产物。
10.如权利要求9所述的方法,其特征在于,在基座温度为约600℃至约700℃且喷头温度为约100℃至约200℃的情况下,在约0.001托耳至100托耳的总腔室压力下,以约1,000sccm至约4,000sccm的流率使所述氯气流入所述MOCVD腔室。
11.如权利要求10所述的方法,其特征在于,在基座温度约900℃且喷头温度低于100℃的情况下,在约0.001托耳至约10托耳的总腔室压力下,以约1,000sccm至约5,000sccm的流率使所述第一冲洗气体流入所述MOCVD腔室;
在基座温度约400℃至约600℃且喷头温度约260℃至约400℃的情况下,在约300托耳至约700托耳的总腔室压力下,以约3,000sccm至约5,000sccm的流率使所述清洁气体流入所述MOCVD腔室;以及
在基座温度约400℃至约600℃且喷头温度高于200℃的情况下,在约0.001托耳至约10托耳的总腔室压力下,以约1,000sccm至约4,000sccm的流率提供所述第二冲洗气体。
12.如权利要求11所述的方法,其特征在于,所述自所述MOCVD腔室移除所述一个或多个基板包含以下步骤:在具有多于90%N2的气氛中将所述基板运送至装载锁定腔室。
13.一种用于制造复合氮化物半导体装置的集成处理系统,包含:
金属有机化学气相沉积(MOCVD)腔室,可操作以使用热化学气相沉积工艺在一个或多个基板上形成氮化镓(GaN)层且在所述GaN层上形成多量子阱(MQW)层;以及
含卤素气源,耦接所述MOCVD腔室,所述含卤素气源可操作以使含卤素气体流入所述MOCVD腔室,从而在将所述MQW层形成于所述GaN层上之前自所述MOCVD腔室的一个或多个内表面移除至少一部分非期望的沉积物堆积,所述非期望的沉积物堆积是在所述一个或多个基板上形成所述GaN层时沉积的,其中所述含卤素气体选自包含以下的群组:氟、氯、溴、碘、HI气、HCl气、HBr气、HF气、NF3及其组合。
14.如权利要求13所述的集成处理系统,进一步包含:
冲洗气源,耦接所述MOCVD腔室,所述冲洗气源可操作以使冲洗气体流入所述MOCVD腔室,从而在将所述MQW层形成于所述GaN层上之前自所述MOCVD腔室移除反应副产物,所述反应副产物由所述含卤素气体与所述非期望的沉积物堆积的所述反应形成。
15.如权利要求13所述的集成处理系统,进一步包含:
运送区域,与所述MOCVD腔室形成可运送连通;
机械手组件,安置于所述运送区域中,以用于运送所述一个或多个基板而不使所述一个或多个基板暴露于大气;
装载锁定腔室,与所述运送区域形成可运送连通,其中运送一个或多个基板包含:在具有多于90%N2的环境中,将所述一个或多个基板自所述MOCVD腔室运送至装载锁定腔室,而不使所述基板暴露于大气。
CN2010800195160A 2009-04-28 2010-04-22 用于制造led的mocvd单一腔室分割工艺 Pending CN102414845A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17355209P 2009-04-28 2009-04-28
US61/173,552 2009-04-28
US12/730,975 2010-03-24
US12/730,975 US8110889B2 (en) 2009-04-28 2010-03-24 MOCVD single chamber split process for LED manufacturing
PCT/US2010/032032 WO2010129183A2 (en) 2009-04-28 2010-04-22 Mocvd single chamber split process for led manufacturing

Publications (1)

Publication Number Publication Date
CN102414845A true CN102414845A (zh) 2012-04-11

Family

ID=42992510

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800195160A Pending CN102414845A (zh) 2009-04-28 2010-04-22 用于制造led的mocvd单一腔室分割工艺

Country Status (6)

Country Link
US (3) US20100273291A1 (zh)
JP (1) JP2012525708A (zh)
KR (1) KR20120009504A (zh)
CN (1) CN102414845A (zh)
TW (1) TW201101531A (zh)
WO (1) WO2010129183A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104805416A (zh) * 2014-01-29 2015-07-29 东京毅力科创株式会社 成膜装置
CN106057659A (zh) * 2015-04-10 2016-10-26 纽富来科技股份有限公司 气相生长方法
CN114270542A (zh) * 2019-06-25 2022-04-01 艾利迪公司 包括三维半导体元件的光电器件和制造所述器件的方法

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101177983B1 (ko) 2007-10-11 2012-08-29 발렌스 프로세스 이큅먼트, 인코포레이티드 화학 기상 증착 반응기
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
CN102414801A (zh) 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
WO2011031858A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US20110081771A1 (en) * 2009-10-07 2011-04-07 Applied Materials, Inc. Multichamber split processes for led manufacturing
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103597583B (zh) * 2011-05-19 2016-06-08 古河机械金属株式会社 半导体制造装置部件的清洗方法、半导体制造装置部件的清洗装置及气相生长装置
US20130005118A1 (en) * 2011-07-01 2013-01-03 Sung Won Jun Formation of iii-v materials using mocvd with chlorine cleans operations
TWI470672B (zh) * 2011-08-22 2015-01-21 Soitec Silicon On Insulator 用於鹵化物氣相磊晶系統之直接液體注入及方法
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
CN103022268A (zh) * 2011-09-22 2013-04-03 理想能源设备(上海)有限公司 硅基薄膜太阳能电池制造方法及其制造装置
US9044793B2 (en) * 2011-11-22 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
DE102011056538A1 (de) 2011-12-16 2013-06-20 Aixtron Se Verfahren zum Entfernen unerwünschter Rückstände aus einem MOCVD-Reaktor sowie zugehörige Vorrichtung
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JP5551730B2 (ja) * 2012-03-28 2014-07-16 日本電信電話株式会社 半導体薄膜の製造方法
JP5766647B2 (ja) * 2012-03-28 2015-08-19 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
KR101411423B1 (ko) * 2012-06-15 2014-06-25 주식회사 티지오테크 금속 할로겐 가스 및 질화 가스가 단일유입관으로 공급되는 배치식 박막 형성 장치
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9925569B2 (en) 2012-09-25 2018-03-27 Applied Materials, Inc. Chamber cleaning with infrared absorption gas
US20140124788A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
DE102013104105A1 (de) * 2013-04-23 2014-10-23 Aixtron Se MOCVD-Schichtwachstumsverfahren mit nachfolgendem mehrstufigen Reinigungsschritt
TWI600786B (zh) 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9276190B2 (en) 2013-10-01 2016-03-01 The Pen Practical method of producing an aerogel composite continuous thin film thermoelectric semiconductor material by modified MOCVD
DE102014102039A1 (de) 2014-02-18 2015-08-20 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Nitrid-Verbindungshalbleiterschicht
JP2015156418A (ja) * 2014-02-20 2015-08-27 株式会社ニューフレアテクノロジー 気相成長方法
KR102145205B1 (ko) 2014-04-25 2020-08-19 삼성전자주식회사 반도체 소자 제조방법 및 증착 장치의 유지보수방법
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN104112662A (zh) * 2014-07-25 2014-10-22 中国科学院半导体研究所 气相外延在线清洗装置及方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP2016105471A (ja) * 2014-11-20 2016-06-09 株式会社ニューフレアテクノロジー 気相成長方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
DE102015101462A1 (de) 2015-02-02 2016-08-04 Aixtron Se Verfahren und Vorrichtung zum Abscheiden einer III-V-Halbleiterschicht
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6332089B2 (ja) * 2015-03-16 2018-05-30 豊田合成株式会社 半導体素子の製造方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10290762B2 (en) * 2015-06-18 2019-05-14 Toshiba Mitsubishi-Electric Industrial Systems Corporation Metal oxide film formation method
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI671787B (zh) 2015-09-22 2019-09-11 美商應用材料股份有限公司 清洗方法
KR20180085807A (ko) 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 세정 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
TWI692021B (zh) * 2016-07-05 2020-04-21 伯思達綠能科技股份有限公司 Led製造用圖案化藍寶石基板的氮化鎵薄膜清除裝置及其清除方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
KR102555142B1 (ko) * 2016-09-14 2023-07-13 어플라이드 머티어리얼스, 인코포레이티드 비소 관련 프로세스들을 위한 탈기 챔버
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10690589B2 (en) * 2017-07-28 2020-06-23 Kla-Tencor Corporation Laser sustained plasma light source with forced flow through natural convection
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10410845B2 (en) * 2017-11-22 2019-09-10 Applied Materials, Inc. Using bias RF pulsing to effectively clean electrostatic chuck (ESC)
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108133985A (zh) * 2017-12-22 2018-06-08 安徽三安光电有限公司 一种氮化物发光二极管
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102572740B1 (ko) * 2018-06-08 2023-08-29 어플라이드 머티어리얼스, 인코포레이티드 플랫 패널 프로세스 장비를 위한 온도 제어식 가스 확산기
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7137070B2 (ja) * 2018-12-03 2022-09-14 日本電信電話株式会社 窒化物半導体光電極の製造方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112309815B (zh) * 2019-07-26 2023-07-28 山东浪潮华光光电子股份有限公司 生产led外延片的mocvd系统维护保养后的恢复方法
TWI730419B (zh) * 2019-09-20 2021-06-11 力晶積成電子製造股份有限公司 鋁層的蝕刻後保護方法
KR20220148735A (ko) * 2021-04-29 2022-11-07 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법
TW202330981A (zh) * 2021-12-03 2023-08-01 德商愛思強歐洲公司 用於在製程室中沉積含有第v主族之元素之層並隨後清潔製程室的方法及裝置
WO2024097507A1 (en) * 2022-11-01 2024-05-10 Lam Research Corporation Reducing particle buildup in processing chambers
DE102022134874A1 (de) 2022-12-28 2024-07-04 Aixtron Se Verfahren zum Abscheiden von GaN auf Si

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814239A (en) * 1995-07-29 1998-09-29 Hewlett-Packard Company Gas-phase etching and regrowth method for Group III-nitride crystals
US20060040475A1 (en) * 2004-08-18 2006-02-23 Emerson David T Multi-chamber MOCVD growth apparatus for high performance/high throughput
US20070259502A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
CN101317247A (zh) * 2006-04-14 2008-12-03 应用材料股份有限公司 复合氮化物半导体结构的外延成长

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
WO1992016966A1 (en) * 1991-03-18 1992-10-01 Boston University A method for the preparation and doping of highly insulating monocrystalline gallium nitride thin films
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
WO1995027570A1 (en) * 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
JP3085364B2 (ja) * 1997-07-22 2000-09-04 日本電気株式会社 Cvd装置のクリーニング方法
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6218280B1 (en) * 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6242347B1 (en) * 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
US6413839B1 (en) * 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
KR100304664B1 (ko) * 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) * 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
EP1252363B1 (de) * 2000-02-04 2003-09-10 Aixtron AG Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
CN1331199C (zh) 2000-04-17 2007-08-08 马特森技术公司 用于生成四氮化三硅薄膜的超薄氧氮化物的uv预处理方法
US6616870B1 (en) * 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) * 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) * 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
US6784085B2 (en) * 2000-11-30 2004-08-31 North Carolina State University MIIIN based materials and methods and apparatus for producing same
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
WO2002080225A2 (en) * 2001-03-30 2002-10-10 Technologies And Devices International Inc. Method and apparatus for growing submicron group iii nitride structures utilizing hvpe techniques
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) * 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
US7211833B2 (en) * 2001-07-23 2007-05-01 Cree, Inc. Light emitting diodes including barrier layers/sublayers
JP2003077839A (ja) 2001-08-30 2003-03-14 Toshiba Corp 半導体製造装置のパージ方法及び半導体装置の製造方法
DE10163394A1 (de) * 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
AU2002366856A1 (en) * 2001-12-21 2003-07-09 Aixtron Ag Method for depositing iii-v semiconductor layers on a non-iii-v substrate
AUPS240402A0 (en) * 2002-05-17 2002-06-13 Macquarie Research Limited Gallium nitride
CN1324772C (zh) * 2002-06-19 2007-07-04 日本电信电话株式会社 半导体发光器件
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) * 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
US6906351B2 (en) * 2003-08-05 2005-06-14 University Of Florida Research Foundation, Inc. Group III-nitride growth on Si substrate using oxynitride interlayer
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7205205B2 (en) * 2003-11-12 2007-04-17 Applied Materials Ramp temperature techniques for improved mean wafer before clean
DE102004009130A1 (de) * 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
WO2006034540A1 (en) * 2004-09-27 2006-04-06 Gallium Enterprises Pty Ltd Method and apparatus for growing a group (iii) metal nitride film and a group (iii) metal nitride film
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) * 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
JP5706601B2 (ja) * 2005-03-10 2015-04-22 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 平坦な半極性窒化ガリウムの成長技術
TWI377602B (en) * 2005-05-31 2012-11-21 Japan Science & Tech Agency Growth of planar non-polar {1-100} m-plane gallium nitride with metalorganic chemical vapor deposition (mocvd)
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US8946674B2 (en) * 2005-08-31 2015-02-03 University Of Florida Research Foundation, Inc. Group III-nitrides on Si substrates using a nanostructured interlayer
JP4803578B2 (ja) 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
KR100755804B1 (ko) * 2005-12-27 2007-09-05 주식회사 아이피에스 알루미늄 함유 금속막 및 알루미늄 함유 금속 질화막을증착하는 박막 증착 장치의 세정방법
US7470599B2 (en) * 2006-04-14 2008-12-30 Applied Materials, Inc. Dual-side epitaxy processes for production of nitride semiconductor structures
US7364991B2 (en) * 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
US20080314317A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Showerhead design with precursor pre-mixing
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090020768A1 (en) * 2007-07-20 2009-01-22 Gallium Enterprise Pty Ltd., An Australian Company Buried contact devices for nitride-based films and manufacture thereof
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR100888440B1 (ko) * 2007-11-23 2009-03-11 삼성전기주식회사 수직구조 발광다이오드 소자의 제조방법
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814239A (en) * 1995-07-29 1998-09-29 Hewlett-Packard Company Gas-phase etching and regrowth method for Group III-nitride crystals
US20060040475A1 (en) * 2004-08-18 2006-02-23 Emerson David T Multi-chamber MOCVD growth apparatus for high performance/high throughput
CN101317247A (zh) * 2006-04-14 2008-12-03 应用材料股份有限公司 复合氮化物半导体结构的外延成长
US20070259502A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104805416A (zh) * 2014-01-29 2015-07-29 东京毅力科创株式会社 成膜装置
CN104805416B (zh) * 2014-01-29 2019-07-30 东京毅力科创株式会社 成膜装置
CN106057659A (zh) * 2015-04-10 2016-10-26 纽富来科技股份有限公司 气相生长方法
CN106057659B (zh) * 2015-04-10 2019-12-13 纽富来科技股份有限公司 气相生长方法
CN114270542A (zh) * 2019-06-25 2022-04-01 艾利迪公司 包括三维半导体元件的光电器件和制造所述器件的方法

Also Published As

Publication number Publication date
TW201101531A (en) 2011-01-01
US8110889B2 (en) 2012-02-07
KR20120009504A (ko) 2012-01-31
WO2010129183A4 (en) 2011-03-17
WO2010129183A3 (en) 2011-01-20
US20100273291A1 (en) 2010-10-28
JP2012525708A (ja) 2012-10-22
US20100273290A1 (en) 2010-10-28
WO2010129183A2 (en) 2010-11-11
US20120111272A1 (en) 2012-05-10

Similar Documents

Publication Publication Date Title
CN102414845A (zh) 用于制造led的mocvd单一腔室分割工艺
US8080466B2 (en) Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US20110244663A1 (en) Forming a compound-nitride structure that includes a nucleation layer
US8183132B2 (en) Methods for fabricating group III nitride structures with a cluster tool
US8642368B2 (en) Enhancement of LED light extraction with in-situ surface roughening
US20110081771A1 (en) Multichamber split processes for led manufacturing
US20100279020A1 (en) METHOD OF FORMING IN-SITU PRE-GaN DEPOSITION LAYER IN HVPE
CN102414786B (zh) 在原位清洁后利用nh3净化对mocvd腔室进行去污染处理
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
US20110207256A1 (en) In-situ acceptor activation with nitrogen and/or oxygen plasma treatment
US20110171758A1 (en) Reclamation of scrap materials for led manufacturing
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20120015502A1 (en) p-GaN Fabrication Process Utilizing a Dedicated Chamber and Method of Minimizing Magnesium Redistribution for Sharper Decay Profile
US20120083060A1 (en) Integration of cluster mocvd and hvpe reactors with other process chambers
US20110143471A1 (en) Surface passivation techniques for chamber-split processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120411