KR20210041654A - 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법 - Google Patents

반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법 Download PDF

Info

Publication number
KR20210041654A
KR20210041654A KR1020190123680A KR20190123680A KR20210041654A KR 20210041654 A KR20210041654 A KR 20210041654A KR 1020190123680 A KR1020190123680 A KR 1020190123680A KR 20190123680 A KR20190123680 A KR 20190123680A KR 20210041654 A KR20210041654 A KR 20210041654A
Authority
KR
South Korea
Prior art keywords
light
semiconductor substrate
measurement light
interference
reflected
Prior art date
Application number
KR1020190123680A
Other languages
English (en)
Inventor
김훈섭
프로토포포브 블라디미르
김민주
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020190123680A priority Critical patent/KR20210041654A/ko
Priority to US17/003,197 priority patent/US11287323B2/en
Publication of KR20210041654A publication Critical patent/KR20210041654A/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/45Interferometric spectrometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/45Interferometric spectrometry
    • G01J3/453Interferometric spectrometry by correlation of the amplitudes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/41Refractivity; Phase-affecting properties, e.g. optical path length
    • G01N21/45Refractivity; Phase-affecting properties, e.g. optical path length using interferometric methods; using Schlieren methods

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • Robotics (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

본 발명의 일 실시예는, 반도체 기판의 공정을 수행하는 공정 챔버 사이에 배치되어, 상기 반도체 기판의 이송 경로를 제공하는 이송 챔버; 상기 이송 챔버의 내부에 배치되어 상기 반도체 기판을 상기 공정 챔버로 로딩하며, 상기 공정 챔버에서 공정이 수행된 상기 반도체 기판을 언로딩하는 이송 로봇; 상기 이송 경로 상에 배치되어 상기 반도체 기판에 다중 파장의 조사광을 조사하며, 상기 반도체 기판의 표면에서 반사된 측정광을 제1 및 제2 측정광으로 분기하고, 상기 제1 측정광이 고정 반사체에 의해 반사되어 형성되는 제1 반사광과 상기 제2 측정광이 선형적으로 이동하는 이동 반사체에 반사되어 형성되는 제2 반사광에 의한 간섭광을 집광하는 광학부; 상기 간섭광을 검출하고, 상기 간섭광을 전기신호로 변환하여 간섭 신호를 생성하는 광 검출부; 및 상기 이동 반사체가 선형적으로 이동한 거리값을 이용하여 상기 간섭 신호로부터 상기 측정광의 파장별 스펙트럼 정보를 추출하고, 상기 스펙트럼 정보를 기초로 상기 반도체 기판의 표면 형성된 막질의 분포 정보를 산출하는 제어부;를 포함하는 반도체 기판 처리 장치를 제공한다.

Description

반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법 {SEMICONDUCTOR SUBSTRATE MEASURING APPARATUS, SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD USING THE SAME}
본 발명은 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법에 관한 것이다.
반도체 소자의 제조 공정은 반도체 기판 상에 막질을 형성하고 이를 처리하는 공정들을 포함한다. 각각의 공정에서 반도체 기판 상에 형성된 막질의 상태를 계측하는 과정이 필요한데, 이러한 계측은 별도의 계측 장비에서 이루어지고 있다. 따라서, 막질을 계측하여 이상을 감지하는 시점과 이를 공정에 반영하는 시점에 간격이 발생하여, 많은 손실이 발생하고 있다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제 중 하나는, 이송 챔버 내에서 이동하는 반도체 기판 상에 형성된 막질의 상태를 실시간으로 검출할 수 있는 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법을 제공하는 데에 있다.
본 발명의 일 실시예는, 반도체 기판의 공정을 수행하는 공정 챔버 사이에 배치되어, 상기 반도체 기판의 이송 경로를 제공하는 이송 챔버; 상기 이송 챔버의 내부에 배치되어 상기 반도체 기판을 상기 공정 챔버로 로딩하며, 상기 공정 챔버에서 공정이 수행된 상기 반도체 기판을 언로딩하는 이송 로봇; 상기 이송 경로 상에 배치되어 상기 반도체 기판에 다중 파장의 조사광을 조사하며, 상기 반도체 기판의 표면에서 반사된 측정광을 제1 및 제2 측정광으로 분기하고, 상기 제1 측정광이 고정 반사체에 의해 반사되어 형성되는 제1 반사광과 상기 제2 측정광이 선형적으로 이동하는 이동 반사체에 반사되어 형성되는 제2 반사광에 의한 간섭광을 집광하는 광학부; 상기 간섭광을 검출하고, 상기 간섭광을 전기신호로 변환하여 간섭 신호를 생성하는 광 검출부; 및 상기 이동 반사체가 선형적으로 이동한 거리값을 이용하여 상기 간섭 신호로부터 상기 측정광의 파장별 스펙트럼 정보를 추출하고, 상기 스펙트럼 정보를 기초로 상기 반도체 기판의 표면 형성된 막질의 분포 정보를 산출하는 제어부;를 포함하는 반도체 기판 처리 장치를 제공한다.
본 발명의 일 실시예는, 다중 파장의 조사광을 생성하는 광원부; 반도체 기판이 이송되는 내부 공간을 가지는 이송 챔버의 상단에 배치되어 상기 조사광을 상기 반도체 기판에 조사하고, 상기 반도체 기판의 표면에서 반사된 측정광을 집광하는 제1 광학부; 상기 측정광을 제1 및 제2 측정광으로 분기하고, 상기 제1 측정광이 고정 반사체에 의해 반사되어 형성되는 제1 반사광과 상기 제2 측정광이 소정의 간격으로 왕복 운동하는 이동 반사체에 반사되어 형성되는 제2 반사광에 의한 간섭광을 집광하는 제2 광학부; 상기 제1 및 제2 반사광에 의한 간섭광을 검출하고, 상기 간섭광을 전기신호로 변환하여 간섭 신호를 생성하는 광 검출부; 및 상기 이동 반사체가 선형적으로 이동한 거리값을 이용하여 검출된 복수의 간섭 신호들로부터 상기 측정광의 스펙트럼 정보를 추출하고, 상기 측정광의 스펙트럼을 기초로 상기 반도체 기판의 표면 형성된 막질의 분포 정보를 산출하는 제어부;를 포함하는 반도체 기판 측정 장치를 제공한다.
본 발명의 일 실시예는, 반도체 기판을 이송 챔버로 이송하고; 상기 반도체 기판을 공정 챔버에 로딩(loading)하고; 상기 공정 챔버 내에서 상기 반도체 기판의 처리 공정을 수행하여 상기 반도체 기판 상에 막질을 형성하고; 상기 반도체 기판을 상기 공정 챔버에서 언로딩(unloading)하고; 상기 반도체 기판에 조사광을 조사하고 상기 반도체 기판에서 반사한 측정광을 집광하고; 상기 측정광을 제1 및 제2 측정광으로 분기하고, 상기 제1 측정광이 고정 반사체에 의해 반사되어 형성되는 제1 반사광과 상기 제2 측정광이 선형적으로 이동하는 이동 반사체에 반사되어 형성되는 제2 반사광을 집광하고; 상기 제1 및 제2 반사광에 의한 간섭광을 검출하고; 상기 간섭광을 검출하여 전기신호로 변환하여 간섭 신호를 생성하고; 상기 이동 반사체가 선형적으로 이동한 거리값을 이용하여 상기 간섭 신호로부터 상기 측정광의 파장별 스펙트럼 정보를 추출하고; 및 상기 스펙트럼 정보를 기초로 상기 반도체 기판의 표면에 형성된 막질의 분포 정보를 산출하는 것을 포함하는 반도체 소자 형성 방법을 제공한다.
본 발명의 기술적 사상에 따른, 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법은 이송 챔버 내에서 이동하는 반도체 기판 상에 형성된 막질의 상태를 실시간으로 검출할 수 있으므로, 막질을 계측하여 이상을 감지하는 시점과 이를 공정에 반영하는 시점에 간격을 단축할 수 있다.
본 발명의 다양하면서도 유익한 장점과 효과는 상술한 내용에 한정되지 않으며, 본 발명의 구체적인 실시형태를 설명하는 과정에서 보다 쉽게 이해될 수 있을 것이다.
도 1은 본 발명의 일 실시예에 의한 반도체 기판 처리 장치를 상부에서 바라본 도면이다.
도 2는 도 1의 반도체 기판 처리 장치를 측면에서 바라본 도면이다.
도 3은 도 2의 반도체 기판 처리 장치 중 반도체 기판 측정 장치를 확대한 도면이다.
도 4는 도 2의 반도체 기판 측정 장치의 측정 과정을 설명하기 위한 도면이다.
도 5는 도 4의 광 검출기의 촬상면을 도시한 도면이다.
도 6은 도 4의 촬상면을 이루는 복수의 픽셀 중 하나의 픽셀의 서브 픽셀에서 검출된 간섭 신호로부터 추출된 스펙트럼을 도시한 도면이다.
도 7은 광검출기에서 생성된 간섭 신호를 도시한 도면이다.
도 8은 측정광의 스펙트럼을 도시한 도면이다.
도 9는 일 실시예에 의한 반도체 소자 형성 방법을 설명하기 위한 흐름도이다.
이하, 첨부된 도면을 참조하여 본 발명의 실시예들을 다음과 같이 설명한다.
도 1 내지 도 4를 참조하여 일 실시예에 의한 반도체 기판 처리 장치(1)에 대해 설명한다. 도 1은 본 발명의 일 실시예에 의한 반도체 기판 처리 장치를 상부에서 바라본 도면이고, 도 2는 도 1의 반도체 기판 처리 장치를 측면에서 바라본 도면이다. 도 3은 도 2의 반도체 기판 처리 장치 중 반도체 기판 측정 장치를 확대한 도면이고, 도 4는 도 2의 반도체 기판 측정 장치의 측정 과정을 설명하기 위한 도면이다.
도 1 및 도 2를 참조하면, 일 실시예에 의한 반도체 기판 처리 장치(1)는 둘레에 적어도 하나의 공정 챔버(20)가 배치된 이송 챔버(10), 이송 챔버(10)의 내부에 배치되어 웨이퍼(W)를 공정 챔버(20)에 로딩(loading) 및 언로딩(unloading)하는 이송 로봇(400), 이송 챔버(10)의 상단에 배치되어 웨이퍼(W)의 표면 상태를 측정하는 반도체 기판 측정 장치(2)를 포함할 수 있다.
이송 챔버(10)는 웨이퍼(W)와 같은 반도체 기판에 대해 소정의 공정을 수행하는 공정 챔버(20)와 웨이퍼(W)가 적재되는 적재 유닛(30) 사이에 배치되어 웨이퍼(W)의 이송경로를 제공할 수 있다. 예를 들어, 공정 챔버(20)는 화학기상증착, 식각, 포토, 세정 공정 등과 같이 웨이퍼(W) 상에 막질을 형성하거나 형성된 막질을 처리하는 공정을 수행할 수 있다.
적재 유닛(30)은 내부 공간에 웨이퍼(W)를 적재할 수 있다. 적재 유닛(30)에는 복수의 웨이퍼(W1 ~ Wn)가 상하로 적재될 수 있다. 적재 유닛(30)은 외부의 공기가 내부로 유입되지 않도록 도어를 가지는 밀폐형 구조를 가질 수 있다.
이송 챔버(10)는 상부에서 보았을 때, 둘레에 복수의 공정 챔버(20) 및 적재 유닛(30)이 배치된 구조일 수 있다. 이송 챔버(10)는 외벽(300)에 의해 정의되는 내부 공간(301)을 가질 수 있다. 외벽(300)의 일 영역에는 적재 유닛(30) 및 공정 챔버(20)와 각각 연결되는 제1 도어(300a) 및 제2 도어(300b)가 배치될 수 있다.
이송 로봇(400)은 적재 유닛(30)에 적재된 웨이퍼(W)를 추출한 후 이를 공정 챔버(20)에 로딩할 수 있으며, 공정 챔버(20)에서 처리가 완료된 웨이퍼(W)를 적재 유닛(30)에 적재할 수 있다. 실시예에 따라서는, 이송 로봇(400)은 어느 하나의 공정 챔버(20)에서 처리가 완료된 웨이퍼(W)를 언로딩하여 다른 하나의 공정 챔버(20)에 로딩할 수도 있다.
이송 로봇(400)은 다단의 아암(arm)(401)을 가질 수 있으며, 아암(401)의 단부에는 웨이퍼(W)가 안착되는 아암 스푼(arm spoon)(402)이 배치될 수 있다. 이송 로봇(400)은 제1 도어(300a)가 개방되면 적재 유닛(30)에 적재된 웨이퍼(W) 중 공정 처리가 필요한 웨이퍼(W)를 선택하여 아암 스푼(402)상에 적재하고, 제2 도어(300b)가 개방되면 적재된 웨이퍼(W)를 공정 챔버(20)에 로딩할 수 있다. 또한, 이송 로봇(400)은 공정 챔버(20)에서 웨이퍼(W)의 공정이 완료되면, 공정 챔버(20)에서 웨이퍼(W)를 언로딩하여, 다시 적재 유닛(30)에 적재할 수 있다.
적재 유닛(30)에 복수의 웨이퍼(W1 ~ Wn)가 상하로 배치된 경우에, 복수의 웨이퍼(W1 ~ Wn)는 각각 이송 챔버(10) 내에서 높이차를 가지고 수평으로 이송되게 된다. 또한, 웨이퍼(W)를 이송하는 과정에서 아암(401)의 진동에 의해 아암 스푼(402)이 상하로 진동하게 되어 웨이퍼(W)의 이송되는 위치에 높이차가 발생할 수 있다. 이러한 높이차로 인해 웨이퍼(W)가 이송 챔버(10)의 내부에서 이송되는 과정에서는 웨이퍼(W)의 표면 상태를 측정하는 것이 어려웠다. 특히, 백색광을 광원으로 사용한 간섭계가 채용된 반도체 기판 측정 장치의 경우, 웨이퍼(W)가 간섭계 내부의 거울과의 광경로가 가간섭거리(coherence length) 이내인 위치에 배치되어야만 웨이퍼(W)의 표면 상태를 측정할 수 있다. 가간섭거리는 광원의 파장폭에 반비례하므로, 백색광을 광원으로 사용한 간섭계의 경우, 가간섭 거리가 매우 짧게 된다. 이와 같이, 백색광을 광원으로 사용한 간섭계는 가간섭거리가 매우 짧으므로, 웨이퍼(W)가 이송되는 과정에서 높이차가 발생하거나 진동하는 경우에는 웨이퍼(W)의 표면 상태를 측정하는 할 수 없다. 따라서, 백색광을 광원으로 사용한 간섭계가 채용된 반도체 기판 측정 장치는 웨이퍼(W)가 이송 챔버(10)의 내부에서 이송되는 과정에서는 웨이퍼(W)의 표면 상태를 측정하는 데에 사용되지 못하였다.
도 3을 참조하면, 광학부(100)는 제1 광학부(110)와 제2 광학부(120)를 포함할 수 있다.
제1 광학부(110)는 이송 챔버(10)의 상단에 배치될 수 있다. 제1 광학부(110)는 이송 챔버(10)의 관찰창(302)을 통해 하부 영역(A)을 통과하는 웨이퍼(W)에 조사광(L1)을 조사하고, 웨이퍼(W)의 표면에서 반사된 측정광(L2)을 집광할 수 있다.
제1 광학부(110)는 외형을 구성하는 제1 몸체부(111)를 가질 수 있다.
제1 몸체부(111)의 전단, 즉, 조사광(L1)이 조사되는 방향에는 관찰창(302)을 통해 웨이퍼(W)에 조사광(L1)을 조사하고, 측정광(L2)을 집광할 수 있도록, 제1 렌즈부(114)가 배치될 수 있다. 조사광(L1)은 아암 스푼(402)에 안착된 웨이퍼(W)가 제1 광학부(110)의 하부 영역(A)을 지나가는 시점에 웨이퍼(W)의 표면에 조사될 수 있다. 이때, 조사광(L1)은 막질(WA)이 형성된 웨이퍼(W) 상부면 전체를 비추도록 조사될 수 있다. 따라서, 측정광(L2)에는 웨이퍼(W)의 상부면의 이미지가 포함될 수 있다. 제1 렌즈부(114)는 하나의 렌즈를 포함할 수 있으나, 실시예에 따라서는 복수의 렌즈들을 포함할 수도 있다. 예를 들어, 제1 렌즈부(114)는 측정광(L2)을 제2 광학부(120)에 집광하기 위한 집광렌즈(condensing lens)를 포함될 수 있으며, 측정광(L2)이 넓은 화각으로 집광되도록 하기 위한 광각렌즈(wide-angle lens)를 포함할 수도 있다.
제1 몸체부(111)에는 조사광(L1)을 조사하는 광원부(112)가 결합될 수 있다. 광원부(112)는 백색광과 같은 다중 파장의 광을 조사할 수 있다. 광원부(112)는 광원 램프를 포함할 수 있으며, 광원 램프로는 제논 램프, 텅스텐 할로겐 램프 또는 백색 발광 다이오드 등과 같이 광을 방출하는 다양한 부재가 채용될 수 있다. 일 실시예의 경우, 광원 램프로 220nm ~ 2000nm 파장 범위의 광대역(broad band) 파장의 광을 조사하는 제논 램프가 사용될 수 있다. 광원부(112)에는 광원 램프에서 방축된 광을 평행광으로 변환하는 콜리메이터 렌즈(collimator lens)(113)가 배치될 수 있다.
제1 렌즈부(114)의 후단에는 광원부(112)에서 조사된 조사광(L1)을 반사하여 제1 몸체부(111)의 전단으로 조사하고, 렌즈부(220)를 통해 입사된 측정광(L2)을 투과할 수 있는 광분할기(115)가 배치될 수 있다.
제1 광학부(110)에는 제2 광학부(120)가 연결될 수 있다. 제2 광학부(120)에는 측정광(L2)을 제2 광학부(120)에 집광하기 위한 제2 렌즈부(122)가 배치될 수 있다.
제2 광학부(120)는 외형을 구성하는 제2 몸체부(121)를 가질 수 있다. 제2 몸체부(121)의 내부에는 제1 광학부(110)에서 집광된 광을 제1 및 제2 측정광(L3, L4)으로 분기하는 광 분할기(123)를 포함할 수 있다. 또한, 제2 몸체부(121)의 내부에는 제1 및 제2 측정광(L3, L4)을 각각 반사하는 고정 반사체(126)와 이동 반사체(124)가 배치될 수 있다.
광 분할기(123)는 측정광(L2)을 서로 직교하는 제1 및 제2 측정광(L3, L4)으로 분기할 수 있다. 광 분할기(123)는 굴절율이 상이한 복수의 반사막들 또는 프리즘을 포함할 수 있다. 광 분할기(123)는 예를 들어, 빔 스프리팅 큐브(beam splitting cube), 빔 스플리터(beam splitte), 편광 빔 스플리터(polarizing beam splitter) 및/또는 윌라스톤 프리즘(wollaston prism)을 포함할 수 있다. 일 실시예의 경우, 광 분할기(123)는 빔 스프리팅 큐브일 수 있다. 광 분할기(123)는 반사와 투과의 비율이 동일할 수 있다. 따라서, 광 분할기(123)를 통해 분기된 제1 및 제2 측정광(L3, L4)은 동일한 광량을 가질 수 있다.
광 분할기(123)에서 분기된 제1 및 제2 측정광(L3, L4)은 각각 고정 반사체(126)와 이동 반사체(124)에 의해 반사되어 다시 광 분할기(123)에서 만나 광 검출기(200)가 배치된 방향으로 향할 수 있다. 고정 반사체(126)에서 반사된 광은 제1 반사광(L5)으로 정의하고, 이동 반사체(124)에서 반사된 광은 제2 반사광(L6)으로 정의한다.
고정 반사체(126) 및 이동 반사체(124)는 제1 및 제2 측정광(L3, L4)을 각각 반사하여 광 분할기(123)에 입사하도록 배치될 수 있다. 고정 반사체(126) 및 이동 반사체(124)는 평면 거울 및 역 반사기(retroreflector) 중 어느 하나로 이루어질 수 있다. 이동 반사체(124) 및 고정 반사체(126)는 광 분할기(123)와 각각 동일한 광학거리(optical distance)(OD1, OD2)만큼 이격되어 배치될 수 있다. 따라서, 이동 반사체(124)가 운동하지 않거나, 고정 반사체(127)와 동일한 광학거리인 지점을 통과하는 경우에는 고정 반사체(126) 및 이동 반사체(124)에서 반사되어 광 분할기(123)에 입사되는 제1 및 제2 측정광(L3, L4)은 동일한 위상을 가질 수 있다.
또한, 이동 반사체(124)는 고정 반사체와 동일한 광학거리를 중심으로 소정의 거리(d)를 왕복 운동할 수 있다. 이 경우, 고정 반사체(126) 및 이동 반사체(124)에서 반사되어 광 분할기(123)에 입사되는 제1 및 제2 측정광(L3, L4)은 서로 다른 위상을 가질 수 있다.
이동 반사체(124)는 제2 측정광(L2)의 광축 방향을 따라 선형적으로 왕복 운동할 수 있다. 이동 반사체(124)는 피에조 모터(piezo motor) 및 보이스 코일 모터(voice coil motor) 중 어느 하나를 포함하는 리니어 스테이지(linear stage)(125)에 의해 구동될 수 있다.
이동 반사체(124)는 소정의 거리(d)를 일정한 속도로 왕복 운동 할 수 있다. 일 실시예의 경우, 이동 반사체(124)는 약 300㎛의 거리를 1초의 주기로 왕복 운동 할 수 있다.
이동 반사체(124)가 왕복 운동하며 반사한 제2 반사광(L6)은 고정 반사체(126)에서 반사된 제1 반사광(L5)과 광 경로차에 의해 상이한 위상을 가지게 된다. 따라서, 제1 및 제2 반사광(L5, L6)은 광 분할기(123)에서 만나 광 검출기(200)가 배치된 방향을 향하는 간섭광(L7)을 형성할 수 있다. 제2 광학부(120)에는 간섭광(L7)을 광 검출기(200)에 집광하기 위한 제3 렌즈부(127)가 배치될 수 있다.
제2 광학부(120)의 측부에는 간섭광(L7)을 검출하여 전기신호로 변환하는 광 검출기(200)가 배치될 수 있다. 일 실시예의 경우, 광 검출기(200)가 제2 광학부(120)의 측부에 배치된 것으로 설명하나, 이에 한정하는 것은 아니며, 광 검출기(200)는 제2 광학부(120)의 상부에 배치될 수도 있다.
광 검출기(200)는 간섭광(L7)에 기초하여 제어부(40)에 간섭 신호(S)를 제공할 수 있다. 간섭 신호(S)는 예를 들면, 간섭광(L7)의 세기 또는 주파수 변화에 상응하는 전압 신호, 전류 신호 또는 디지털 신호일 수 있다. 광 검출기(200)는 검출된 광 신호를 전기적 신호로 변환하는 촬상부를 포함할 수 있다. 촬상부는 CCD(charge coupled device) 센서 및 CMOS(complementary metal-oxide semiconductor) 센서 중 어느 하나를 포함할 수 있다. 도 5에 도시된 바와 같이, 촬상부(210)는 복수의 픽셀(P)을 포함할 수 있으며, 각각의 픽셀(P)은 복수의 서브 픽셀들로 이루어질 수 있다. 일 실시예는 각각의 픽셀(P)이 적색 서브 픽셀(SP_R), 청색 서브 픽셀(SP_B) 및 녹색 서브 픽셀(SP_G)을 포함하는 경우를 예로 들어 설명한다. 도 6은 적색 서브 픽셀(SP_R)에서 검출된 간섭광의 간섭 신호를 도시한 것이다. 적색 서브 픽셀(SP_R)에는 적색광에 해당하는 660nm의 파장이 우세하게 검출된 것을 볼 수 있다.
웨이퍼(W)에서 반사된 측정광(L2)에는 웨이퍼(W)의 전체 이미지가 포함되어 있으므로, 측정광(L2)의 간섭광(L7)에는 웨이퍼(W)의 각 영역에 대응되는 간섭광이 포함될 수 있다. 따라서, 이를 촬영한 촬상부(210)의 복수의 픽셀에는 각각 웨이퍼(W)의 각 영역에 대응되는 간섭광이 촬상될 수 있다. 도 7은 어느 하나의 픽셀에서 검출된 간섭광(L7)을 도시한 것으로, 3개의 간섭 무늬가 나타난 것을 볼 수 있다. 이 중 가장 긴 무늬는 고정 반사체(126)와 이동 반사체(124)의 광학적 거리가 동일한 지점(zero optical path difference; ZPD)에서 나타난 것을 볼 수 있다.
제어부(40)는 광 검출기(200)로부터 제공받은 간섭 신호(S)와 간섭 신호(S)가 촬상된 시점의 이동 반사체(124)의 위치를 기초로 측정광의 파장별 스펙트럼 정보를 복원할 수 있다. 제어부(40)는 간섭 신호(S)를 푸리에 변환(Fourier Transform)하여, 시간 영역의 신호를 파장 영역의 신호로 변환하고, 최고점들의 간격이 상이해진 부분을 검출함으로써, 웨이퍼(W)의 표면에 형성된 막질(WA)의 분포를 산출할 수 있다. 도 8은 제어부(40)에 푸리에 변환된 간섭 신호를 보여준다.
이와 같이, 일 실시예는 고정 반사체(126) 및 이동 반사체(124)를 이용하여, 측정광(L2)을 기초로 간섭광(L7)을 형성하므로, 복수의 웨이퍼(W)가 이송 챔버(10) 내에서 높이차를 가지고 이송되는 경우에도 웨이퍼(W) 표면의 막질 분포를 산출할 수 있으며, 웨이퍼(W)를 이송하는 과정에서 아암(401)의 진동에 의해 아암 스푼(402)이 상하로 진동하게 되어 웨이퍼(W)의 높이차가 발생한 경우에도 웨이퍼(W) 표면의 막질(WA) 분포를 산출할 수 있다.
일 실시예는 이송 챔버(10) 내에서 웨이퍼(W)의 막질(WA) 분포를 산출할 수 있으므로, 웨이퍼(W)의 막질(WA) 분포를 측정하기 위해 웨이퍼(W)를 별도의 측정장치로 이송할 필요가 없다.
또한, 일 실시예는 공정 챔버(20)에서 처리된 웨이퍼(W)의 막질(WA) 분포가 기준에 못미치는 경우에, 웨이퍼(W)가 이송 챔버(10)를 벗어나기 전에 이를 확인하고, 곧바로 공정 챔버(20)의 이상 여부를 확인할 수 있으므로, 막질을 계측하여 이상을 감지하는 시점과 이를 공정에 반영하는 시점에 간격에 의해 발생하는 손실을 감소시킬 수 있다.
다음으로, 도 9를 참조하여, 앞서 설명한 도 1 및 도 2의 반도체 기판 처리 장치(1)를 이용하여 반도체 소자를 형성하는 방법에 대해 설명한다. 도 9는 일 실시예에 의한 반도체 소자 형성 방법을 설명하기 위한 흐름도이다.
먼저 웨이퍼(W)를 준비할 수 있다(S10). 웨이퍼(W)는 적재 유닛(30)에 적재된 후, 이송 로봇(400)을 통해 이송 챔버(10) 내부로 이송될 수 있다.
다음으로, 이송 로봇(400)을 통해 웨이퍼(W)를 공정 챔버(20)에 로딩할 수 있다(S20). 이전 공정에서, 이미 웨이퍼(W) 상에 막질(WA)이 형성된 경우에는 공정 챔버(20)에 로딩하기 전에 웨이퍼(W)의 표면이 측정될 수도 있다.
다음으로, 공정 챔버(20) 내에서 웨이퍼(W)에 막질(WA)을 형성하는 공정이 수행될 수 있다(S30). 공정 챔버(20)에서는 화학기상증착, 식각, 포토, 세정 공정 등과 같이 웨이퍼(W) 상에 막질(WA)을 형성하거나 형성된 막질(WA)을 처리하는 공정이 수행할 수 있다.
다음으로, 공정 챔버(20)에서 웨이퍼(W)를 언로딩한 후(S40), 웨이퍼(W)의 표면을 측정할 수 있다(S50).
웨이퍼(W)의 표면을 측정하는 과정은, 웨이퍼(W)에 조사광(L1)을 조사한 후 웨이퍼(W)에서 반사한 측정광(L2)을 집광하고, 집광된 측정광(L2)을 제1 및 제2 측정광(L3, L4)으로 분기하고, 제1 측정광(L3)이 고정 반사체(126)에 의해 반사되어 형성되는 제1 반사광(L5)과 제2 측정광(L2)이 선형적으로 이동하는 이동 반사체(124)에 반사되어 형성되는 제2 반사광(L6)을 집광하고, 제1 및 제2 반사광(L5, L6)에 의한 간섭광(L7)을 검출하고, 검출된 간섭광(L7)을 전기신호로 변환하여 간섭 신호(S)를 생성하고, 이동 반사체(124)가 선형적으로 이동한 거리값을 이용하여 간섭 신호(S)로부터 측정광(L2)의 파장별 스펙트럼 정보를 추출하는 과정을 통해 이루어질 수 있다.
다음으로, 제어부(40)는 스펙트럼 정보를 기초로 웨이퍼(W)의 표면 형성된 막질의 분포 정보를 산출할 수 있다(S60).
본 발명은 상술한 실시형태 및 첨부된 도면에 의해 한정되는 것이 아니며 첨부된 청구범위에 의해 한정하고자 한다. 따라서, 청구범위에 기재된 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 당 기술분야의 통상의 지식을 가진 자에 의해 다양한 형태의 치환, 변형 및 변경이 가능할 것이며, 이 또한 본 발명의 범위에 속한다고 할 것이다.
1: 반도체 기판 처리 장치
2: 반도체 기판 측정 장치
10: 이송 챔버
20: 공정 챔버
30: 적재 유닛
40: 제어부
100: 광학부
110: 제1 광학부
112: 광원부
120: 제2 광학부
124: 이동 반사체
126: 고정 반사체
200: 광 검출부
W: 웨이퍼

Claims (20)

  1. 반도체 기판의 공정을 수행하는 공정 챔버 사이에 배치되어, 상기 반도체 기판의 이송 경로를 제공하는 이송 챔버;
    상기 이송 챔버의 내부에 배치되어 상기 반도체 기판을 상기 공정 챔버로 로딩하며, 상기 공정 챔버에서 공정이 수행된 상기 반도체 기판을 언로딩하는 이송 로봇;
    상기 이송 경로 상에 배치되어 상기 반도체 기판에 다중 파장의 조사광을 조사하며, 상기 반도체 기판의 표면에서 반사된 측정광을 제1 및 제2 측정광으로 분기하고, 상기 제1 측정광이 고정 반사체에 의해 반사되어 형성되는 제1 반사광과 상기 제2 측정광이 선형적으로 이동하는 이동 반사체에 반사되어 형성되는 제2 반사광에 의한 간섭광을 집광하는 광학부;
    상기 간섭광을 검출하고, 상기 간섭광을 전기신호로 변환하여 간섭 신호를 생성하는 광 검출부; 및
    상기 이동 반사체가 선형적으로 이동한 거리값을 이용하여 상기 간섭 신호로부터 상기 측정광의 파장별 스펙트럼 정보를 추출하고, 상기 스펙트럼 정보를 기초로 상기 반도체 기판의 표면 형성된 막질의 분포 정보를 산출하는 제어부;를 포함하는 반도체 기판 처리 장치.
  2. 제1항에 있어서,
    상기 광학부는,
    상기 이송 챔버의 상단에 배치되어 상기 조사광을 상기 반도체 기판에 조사하며 상기 측정광을 집광하는 제1 광학부; 및
    상기 제1 광학부에서 집광된 상기 제1 및 제2 측정광으로 분기하는 광 분할기를 포함하는 제2 광학부;를 포함하는 반도체 기판 처리 장치.
  3. 제1항에 있어서,
    상기 고정 반사체 및 상기 이동 반사체는 평면 거울 및 역 반사기(retroreflector) 중 어느 하나로 이루어지는 반도체 기판 처리 장치.
  4. 제1항에 있어서,
    상기 제어부는 상기 간섭 신호를 푸리에 변환(Fourier Transform)하여, 시간 영역의 신호를 파장 영역의 신호로 변환하고, 상기 파장 영역의 신호의 최고점들의 간격이 상이해진 부분을 검출하는 반도체 기판 처리 장치.
  5. 제1항에 있어서,
    상기 광 검출부는 각각 상기 간섭광을 결상하는 복수의 픽셀을 촬상부를 포함하며,
    상기 간섭광은 상기 복수의 픽셀에 2차원 간섭 무늬로 결상되는 반도체 기판 처리 장치.
  6. 제1항에 있어서,
    상기 광 검출부는 상기 이동 반사체가 1회 왕복 운동하는 동안 적어도 2회 이상 상기 간섭광을 검출하는 반도체 기판 처리 장치.
  7. 다중 파장의 조사광을 생성하는 광원부;
    반도체 기판이 이송되는 내부 공간을 가지는 이송 챔버의 상단에 배치되어 상기 조사광을 상기 반도체 기판에 조사하고, 상기 반도체 기판의 표면에서 반사된 측정광을 집광하는 제1 광학부;
    상기 측정광을 제1 및 제2 측정광으로 분기하고, 상기 제1 측정광이 고정 반사체에 의해 반사되어 형성되는 제1 반사광과 상기 제2 측정광이 소정의 간격으로 왕복 운동하는 이동 반사체에 반사되어 형성되는 제2 반사광에 의한 간섭광을 집광하는 제2 광학부;
    상기 제1 및 제2 반사광에 의한 간섭광을 검출하고, 상기 간섭광을 전기신호로 변환하여 간섭 신호를 생성하는 광 검출부; 및
    상기 이동 반사체가 선형적으로 이동한 거리값을 이용하여 검출된 복수의 간섭 신호들로부터 상기 측정광의 스펙트럼 정보를 추출하고, 상기 측정광의 스펙트럼을 기초로 상기 반도체 기판의 표면 형성된 막질의 분포 정보를 산출하는 제어부;를 포함하는 반도체 기판 측정 장치.
  8. 제7항에 있어서,
    상기 제2 광학부는,
    상기 측정광을 상기 제1 측정광 및 상기 제2 측정광으로 분기하는 광 분할기를 더 포함하며,
    상기 고정 반사체 및 상기 이동 반사체는 상기 광 분할기에 대해 동일한 광학거리(optical distance)만큼 이격되어 배치된 반도체 기판 측정 장치.
  9. 제8항에 있어서,
    상기 광 분할기는 상기 제1 측정광 및 상기 제2 측정광을 서로 직교하는 방향으로 분기하는 기판 측정 장치.
  10. 제8항에 있어서,
    상기 광 분할기는 반사와 투과 비율이 동일한 반도체 기판 측정 장치.
  11. 제8항에 있어서,
    상기 이동 반사체는 상기 고정 반사체와 동일한 광학거리를 중심으로 왕복 운동하는 반도체 기판 측정 장치.
  12. 제7항에 있어서,
    상기 제2 광학부는 상기 이동 반사체를 선형적으로 왕복 운동하는 리니어 스테이지(linear stage)를 더 포함하며,
    상기 리니어 스테이지는 상기 제2 측정광의 광축 방향을 기준으로 왕복 운동하도록 배치된 반도체 기판 측정 장치.
  13. 제12항에 있어서,
    상기 리니어 스테이지는 피에조 모터(piezo motor) 및 보이스 코일 모터(voice coil motor) 중 어느 하나를 포함하는 반도체 기판 측정 장치.
  14. 제7항에 있어서,
    상기 제1 측정광과 상기 제2 측정광은 가간섭거리(coherence length) 이내의 광경로 차이를 갖는 반도체 기판 측정 장치.
  15. 제7항에 있어서,
    상기 광 검출부는 상기 간섭광을 결상하는 촬상부를 포함하며,
    상기 촬상부는 복수의 픽셀을 포함하는 CCD(charge coupled device) 센서 및 CMOS(complementary metal-oxide semiconductor) 센서 중 어느 하나를 포함하는 반도체 기판 측정 장치.
  16. 제15항에 있어서,
    상기 간섭광은 상기 복수의 픽셀에 2차원 간섭 무늬로 결상되는 반도체 기판 측정 장치.
  17. 반도체 기판을 이송 챔버로 이송하고;
    상기 반도체 기판을 공정 챔버에 로딩(loading)하고;
    상기 공정 챔버 내에서 상기 반도체 기판의 처리 공정을 수행하여 상기 반도체 기판 상에 막질을 형성하고;
    상기 반도체 기판을 상기 공정 챔버에서 언로딩(unloading)하고;
    상기 반도체 기판에 조사광을 조사하고 상기 반도체 기판에서 반사한 측정광을 집광하고;
    상기 측정광을 제1 및 제2 측정광으로 분기하고, 상기 제1 측정광이 고정 반사체에 의해 반사되어 형성되는 제1 반사광과 상기 제2 측정광이 선형적으로 이동하는 이동 반사체에 반사되어 형성되는 제2 반사광을 집광하고;
    상기 제1 및 제2 반사광에 의한 간섭광을 검출하고;
    상기 간섭광을 검출하여 전기신호로 변환하여 간섭 신호를 생성하고;
    상기 이동 반사체가 선형적으로 이동한 거리값을 이용하여 상기 간섭 신호로부터 상기 측정광의 파장별 스펙트럼 정보를 추출하고; 및
    상기 스펙트럼 정보를 기초로 상기 반도체 기판의 표면에 형성된 막질의 분포 정보를 산출하는 것을 포함하는 반도체 소자 형성 방법.
  18. 제17항에 있어서,
    상기 이동 반사체는 상기 제2 측정광의 광축을 따라 왕복 운동하는 반도체 소자 형성 방법.
  19. 제17항에 있어서,
    상기 제1 측정광과 상기 제2 측정광은 가간섭거리(coherence length) 이내의 광경로 차이를 갖는 반도체 소자 형성 방법.
  20. 제17항에 있어서,
    상기 간섭광은 상기 이동 반사체가 1회 왕복 운동하는 동안 적어도 2회 이상 전기신호로 변환되는 반도체 소자 형성 방법.
KR1020190123680A 2019-10-07 2019-10-07 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법 KR20210041654A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020190123680A KR20210041654A (ko) 2019-10-07 2019-10-07 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법
US17/003,197 US11287323B2 (en) 2019-10-07 2020-08-26 Semiconductor substrate measuring apparatus, semiconductor substrate processing apparatus and semiconductor device manufacturing method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190123680A KR20210041654A (ko) 2019-10-07 2019-10-07 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법

Publications (1)

Publication Number Publication Date
KR20210041654A true KR20210041654A (ko) 2021-04-16

Family

ID=75274061

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190123680A KR20210041654A (ko) 2019-10-07 2019-10-07 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법

Country Status (2)

Country Link
US (1) US11287323B2 (ko)
KR (1) KR20210041654A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202022101686U1 (de) 2021-03-31 2022-05-20 Hyundai Mobis Co., Ltd. Lenkvorrichtung

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11694542B2 (en) * 2020-08-13 2023-07-04 Ford Global Technologies, Llc Vehicle operation

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050174583A1 (en) * 2000-07-06 2005-08-11 Chalmers Scott A. Method and apparatus for high-speed thickness mapping of patterned thin films
WO2004036638A1 (ja) * 2002-10-18 2004-04-29 Hitachi, Ltd. 半導体装置の製造方法
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
KR100782424B1 (ko) 2007-07-27 2007-12-05 (주)쎄미시스코 유리기판의 품질 검사장치
US8282984B2 (en) * 2007-12-03 2012-10-09 Tokyo Electron Limited Processing condition inspection and optimization method of damage recovery process, damage recovering system and storage medium
WO2009099776A1 (en) 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US8698889B2 (en) 2010-02-17 2014-04-15 Applied Materials, Inc. Metrology system for imaging workpiece surfaces at high robot transfer speeds
US9076827B2 (en) * 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US9442014B2 (en) * 2011-04-05 2016-09-13 Konica Minolta, Inc. Fourier transform spectrometer and fourier transform spectroscopic method
JP6186152B2 (ja) * 2013-03-29 2017-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6374169B2 (ja) * 2014-01-23 2018-08-15 株式会社荏原製作所 研磨方法および研磨装置
KR20170038219A (ko) 2015-09-30 2017-04-07 주식회사 선익시스템 기판 처리 시스템 및 그의 기판의 파손 검출 방법
CN108292589B (zh) * 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
KR101821276B1 (ko) 2015-12-29 2018-01-23 원광대학교산학협력단 클러스터용 평행 x선을 이용한 태양전지 박막 두께 측정 장치
WO2018067243A1 (en) 2016-10-04 2018-04-12 Kla-Tencor Corporation Expediting spectral measurement in semiconductor device fabrication
CN110785834B (zh) * 2017-06-21 2024-04-19 东京毅力科创株式会社 基板处理系统、基板处理方法以及计算机存储介质
JP6953286B2 (ja) * 2017-11-09 2021-10-27 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20220129599A (ko) * 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
US11939665B2 (en) * 2020-03-10 2024-03-26 Tokyo Electron Limted Film thickness measuring apparatus and film thickness measuring method, and film forming system and film forming method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202022101686U1 (de) 2021-03-31 2022-05-20 Hyundai Mobis Co., Ltd. Lenkvorrichtung

Also Published As

Publication number Publication date
US11287323B2 (en) 2022-03-29
US20210102841A1 (en) 2021-04-08

Similar Documents

Publication Publication Date Title
US10274425B2 (en) Structured illumination for contrast enhancement in overlay metrology
US8649024B2 (en) Non-contact surface characterization using modulated illumination
KR101982380B1 (ko) 3d 웨이퍼에 대한 다중-스펙트럼 결함 검사
KR102456213B1 (ko) 이미징 기반 오버레이 계측을 위한 포커스 최적화를 위한 시스템 및 방법
KR102580107B1 (ko) 반도체 디바이스 제조 중 분광 측정의 촉진
US20100002950A1 (en) Methods and apparatus for wavefront manipulations and improved 3-D measurements
CN113348361B (zh) 用于共址计量的方法及系统
US20080117438A1 (en) System and method for object inspection using relief determination
JP2014527633A (ja) 瞳位相解析によるオーバレイ計測
CN112384750B (zh) 双干涉测量样本测厚仪
TW201825864A (zh) 用於圖案化半導體特徵之特徵化的掃描白光干涉測量系統
JP4090860B2 (ja) 3次元形状測定装置
EP2791618A2 (en) Non-contact surface characterization using modulated illumination
KR20210041654A (ko) 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법
US20110317168A1 (en) System and method for interferometric autofocusing
US11248899B2 (en) Method and apparatus for deriving a topography of an object surface
US10504802B2 (en) Target location in semiconductor manufacturing
WO2019212959A1 (en) Interferometer with multiple wavelength sources of different coherence lengths
WO2019236084A1 (en) Overlay measurement using phase and amplitude modeling
US11644419B2 (en) Measurement of properties of patterned photoresist
CN113945168A (zh) 表面形貌量测系统与方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal