TWI647530B - 用於沉積之監控系統及其操作方法 - Google Patents

用於沉積之監控系統及其操作方法 Download PDF

Info

Publication number
TWI647530B
TWI647530B TW103144549A TW103144549A TWI647530B TW I647530 B TWI647530 B TW I647530B TW 103144549 A TW103144549 A TW 103144549A TW 103144549 A TW103144549 A TW 103144549A TW I647530 B TWI647530 B TW I647530B
Authority
TW
Taiwan
Prior art keywords
substrate
monitoring
material layer
deposition
scanning
Prior art date
Application number
TW103144549A
Other languages
English (en)
Other versions
TW201527870A (zh
Inventor
福德馬吉德A
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201527870A publication Critical patent/TW201527870A/zh
Application granted granted Critical
Publication of TWI647530B publication Critical patent/TWI647530B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一種監控系統及其操作方法包括:提供基板於平臺上;執行掃描基板;沉積材料層於基板上;監控材料層的沉積厚度;及基於沉積厚度的誤差產生警報。

Description

用於沉積之監控系統及其操作方法 【相關申請案之交互參照】
本申請案主張2013年12月22日申請之美國臨時申請案第61/919,776號之優先權權益,該案件之內容全文以引用之方式併入本文中。
本發明大體上關於一種監控系統,且更特定為關於一種用於極紫外光微影中之沉積系統的監控系統。
極紫外光微影(EUVL,也被稱為軟X光投射微影)為取代用於製造0.13微米及更小之最小特徵尺寸半導體元件之深紫外光微影的競爭者。
然而,極紫外光線(通常在5至40奈米的波長範圍)實際上會被所有材料強烈地吸收。基於此原因,運作極紫外光系統是藉由光反射而非光穿透。藉由使用一系列的鏡子、或透鏡元件,與反射元件、或光罩空白(mask blank),塗佈以非反射吸收劑光罩圖案,圖案化之光化光被反射至塗佈光阻之半導體晶圓上。
極紫外光微影系統之透鏡元件與光罩空白被塗佈反 射多層塗層材料,例如鉬與矽。藉由使用塗佈多層塗層的基板,此塗層本質上強烈地反射在極窄的紫外光帶通(例如13奈米紫外光線之12至14奈米帶通)內之單一波長的光,已經得到每個透鏡元件或光罩空白有約65%的反射值。
在半導體製程技術中有許多種類的缺陷會造成光罩問題。不透明缺陷通常是由多層塗層之頂部的粒子或應該反射光線的光罩圖案卻吸收光線所造成。透光缺陷通常是由於穿過在多層塗層之頂部的光罩圖案中的針孔之光線應該被吸收,但卻被反射所造成。
相缺陷的原因通常是由於多層塗層下方之刮痕與表面變異所造成,而該刮痕與表面變異造成反射光的相轉變。這些相轉變造成光波干涉效應,其扭曲或改變曝光於半導體晶圓表面上的光阻之圖案。因為在小於0.13微米最小特徵尺寸時,必須使用較短的照射波長,使之前是無關緊要的刮痕與表面變異,現在變得無法接受。
儘管已經進行降低及消除粒子缺陷之處理與修復光罩中的不透光及透光缺陷之作業,迄今仍未處理相缺陷問題。對於深紫外光微影,表面已被處理以維持相轉變小於60度。用於極紫外光微影之類似的處理仍然在發展中。
對於光化波長13奈米而言,在下層表面小至3奈米深度的刮痕使得由多層塗層反射的光會發生180度的相轉變。越短的波長會有越淺的深度。類似地,在相同波長下,表面變異陡陗的程度大於一(1)奈米提升超過一百(100)奈米時,可造成類似的相轉變。這些相轉變會造成在半導體 晶圓表面的相缺陷與半導體元件不可修復的損害。
在過去,用於深紫外光微影的光罩空白通常為玻璃,但矽或極低熱膨脹材料已被提議可作為用於極紫外光微影中的選擇。不管此光罩空白是玻璃、極低熱膨脹材料、或矽,此光罩空白的表面藉由使用研磨劑的機械拋光被製成盡可能的平滑。這樣處理後所遺留的刮痕有時被稱為「刮痕-凹洞(scratch-dig)標記」,且其深度與寬度取決於用以拋光此光罩空白的研磨劑中的粒子尺寸。在可見光與深紫外光微影,這些刮痕太小而不造成在半導體晶圓上圖案中之相缺陷。然而,在極紫外光微影,刮痕-凹洞標記是重大的問題,因為其會以相缺陷形式出現。
由於用於EUV微影的短照明波長,使用的圖案光罩必須是反射式光罩,而非現行微影所使用的穿透式光罩。反射式光罩是以鉬及矽的交替薄層之精確堆疊所製成,其創造出一布拉格折射器或鏡子。因為多層堆疊的本質與小特徵尺寸,多層堆疊沉積於其上之基板表面中之任何瑕疵會被放大且影響最終產品。在幾個奈米尺度的瑕疵會在最終光罩上顯露為可印缺陷,且需要在多層堆疊沉積前從光罩空白之表面消除。
一般瑕疵包括凹痕、刮痕及粒子。一般清潔技術移除許多粒子,但產生新凹痕或放大存在的凹痕。這些凹痕可來自拋光或清潔處理,或可來自基板材料本身之夾雜物或瑕疵,其在切割與拋光處理時被暴露出來。進一步的拋光可用以移除表面之凹痕,但其也有在處理中造成或暴露出新的凹痕的風險,其限制單獨使用拋光以光滑及平坦基板表面的效用。用於基板光滑的另一方法是雷射或電漿退火。這些技術熔化與重流(reflow)玻璃基板的一薄表面層,移除局部缺陷。問題在於其誘使在基板表面中之較長範圍粗糙度或波動,且因而不能提供EUV光罩所需之基板平坦度。
由於電子元件之更小特徵尺寸的需求不斷增加,找到這些問題的解答越來越關鍵。由於持續上升的商業競爭壓力,及成長的消費者期望,找到這些問題的解答是重點。此外,降低成本、改善效率及效能、與應付競爭壓力的需求增加了更大的急迫性於找尋這些問題的解答的關鍵必要性。
這些問題的解答已被長期探索,但先前發展並未教示或建議任何解答,且因此這些問題的解答已長期困惑著此領域之熟知技藝者。
本發明提供一種操作監控系統的方法,包含以下步驟:提供基板於平臺上;執行基板之掃描;沉積材料層於基板上;監控材料層之沉積厚度;以及基於沉積厚度的誤差產生警報。
本發明提供一種監控系統,包含:用於支撐基板的平臺;用於沉積材料層於基板上的沉積系統;用於偵測材料層中之誤差的感測器組件;以及用於沉積另一材料層於基板上的第二沉積系統。
本發明的某些具體例具有上述之外或取代其之其他步驟或元件。這些步驟與元件對於閱讀以下之實施方式並參 照隨附圖式的此領域之熟知技藝者而言是顯而易見的。
100‧‧‧整體極紫外光光罩生產系統
102‧‧‧基板裝載與承載操作系統
104‧‧‧基板
106‧‧‧氣匣
108‧‧‧晶圓傳送真空腔室
110‧‧‧第一真空腔室
111‧‧‧檢查腔室
112‧‧‧第二真空腔室
114‧‧‧第一晶圓傳送系統
116‧‧‧第二晶圓傳送系統
118‧‧‧除氣系統
120‧‧‧第一物理氣相沉積系統
122‧‧‧第二物理氣相沉積系統
124‧‧‧預清潔系統
126‧‧‧第一多陰極源
128‧‧‧可流動式化學氣相沉積(FCVD)系統
130‧‧‧固化系統
132‧‧‧第二多陰極源
134、136‧‧‧晶圓
200‧‧‧監控系統
202‧‧‧受監控基板
204‧‧‧基板頂表面
206‧‧‧平臺
208‧‧‧感測器組件
210‧‧‧第一感測器
212‧‧‧第二感測器
214‧‧‧第三感測器
216‧‧‧第四感測器
218‧‧‧中央區
220‧‧‧邊緣區
302‧‧‧光反射系統
304‧‧‧X光系統
306‧‧‧雷射系統
308‧‧‧攝影機系統
400‧‧‧監控系統
402‧‧‧材料層
404‧‧‧層頂表面
406‧‧‧沉積厚度
408‧‧‧感測器組件
410‧‧‧點源
412‧‧‧偵測器
502‧‧‧內部矽層
504‧‧‧內部鉬層
506‧‧‧點源
507‧‧‧第二材料層
508‧‧‧偵測器
602‧‧‧外周圍
700‧‧‧方法
711‧‧‧誤差
713‧‧‧警報
702、704、706、708、710、712、714、716、718、720、722‧‧‧方塊
715‧‧‧光譜特性
800‧‧‧方法
802、804、806、808、810、812、814、816、818、820、822‧‧‧方塊
811‧‧‧誤差
813‧‧‧警報
900‧‧‧EUV微影系統
902‧‧‧EUV光源區
904‧‧‧光罩平臺
906‧‧‧晶圓平臺
圖1是整體極紫外光光罩生產系統。
圖2是根據本發明的第一具體例之用於沉積及度量(metrology)的監控系統的例視圖。
圖3是感測器組件之示例性硬體方塊圖。
圖4是根據本發明的第二具體例之用於沉積及度量的監控系統的例視圖。
圖5是在中間沉積相之圖2中的結構。
圖6是圖2所示之受監控基板的頂視圖。
圖7是根據本發明的具體例之圖2的監控系統操作之方法。
圖8是根據本發明的進一步具體例之圖2的監控系統操作之方法。
圖9是EUV微影系統。
下列具體例被充分詳細地說明,使此領域之熟知技藝者能製造及使用本發明。應了解到基於本發明之揭露,其他的具體例是顯而易見的,且在不悖離本發明之範疇下,可進行本發明之系統、處理或機械之改變。
在下列的說明中,給出許多明確細節以提供完整地了解本發明。然而,很明顯地,本發明可在沒有這些明確細節下被實行。為了避免混淆本發明,不詳細揭露某些熟知的元件與電路、系統設置、及處理步驟。
顯示系統之具體例的圖式是半概略式的,且不照尺寸繪製,及更特定地,某些維度是為了說明的明確性而在圖式中被誇大表示。類似地,雖然圖示中的視圖為了便於說明通常表示為相似的定向,但圖式中之描繪在大部分的情況下是隨意的。大體上,本發明可以任何定向操作。
當被揭露與說明之多個具體例具有共通的相同特徵時,為了顯示、說明及理解的明確性與簡易性,類似與相像特徵將以類似的元件符號說明。
為了說明目的,本文中使用用語「平行的」是定義為一平面平行於光罩空白或基板之平面或表面,而無視其定向。用語「垂直的」視為正交於方才定義之平行的方向。用語像是「之上」、「之下」、「底部」、「頂部」、「側邊(像是「側壁」)」、「更高」、「更低」、「上方」、「越過」及「下方」是參考平行的平面而定義的,如圖示中所示。用語「上」表示在元件之間有直接接觸。
當在需要形成說明的結構時,本文所用用語「處理」包括沉積材料或光阻、圖案化、曝光、顯影、蝕刻、清潔、及/或移除材料或光阻。
本發明的具體例是用於監控及創造本說明書中之層元件。層元件可包括光罩空白、鏡子、及電子元件,其包括薄膜之多層疊層。譬如,層元件可包括磁性隨機存取記憶體(MRAM)、極紫外光(EUV)光罩空白、極紫外光微影(EUVL)、X光鏡子、或其他多層反射式元件。本發明包括在製造上述元件期間,用於監控均勻薄膜厚度與界面品質的 系統與方法。
沉積系統可包括用於監控層元件中之各薄膜層的厚度、均勻度、平坦度、及界面品質之感測器組件。感測器組件可包括單一感測器、在單一組件外殼中之多個感測器、或在沉積與製造系統內之不同位置的多個感測器。
感測器組件也可包括電荷耦合元件(CCD)、X光反射器、EUV反射器、雷射散射技術、雷射陰極感測器、或上述之組合以監控薄膜層之厚度、界面清晰度、平坦度、及均勻度。感測器組件之感測器的精確度可包括一埃(Å)的0.1。
帶有感測器組件的沉積系統可監控與顯示關於各材料層之薄膜厚度、薄膜均勻度、界面清晰度、及表面平坦度的即時資訊。感測器組件也可用於監控薄膜層之間的交互擴散與薄膜層內的缺陷。感測器組件也可監測缺陷與粒子,例如:在各層之內與之上的圓槽、溝、刮痕、捆束、變形、結石、卵石、及凹痕。
譬如,感測器組件可使用X光反射、UV反射、與雷射散射技術以監控及分析厚度均勻度、界面粗糙度、薄膜組成之特性,及使用對於每一單獨沉積層之原位或進線偵測而偵測粒子。在形成或製造階段期間,本發明的具體例可監控不同組成物或元件的各層。感測器組件可提供關於各層之規格的立即回饋。
上述一個重要的創新面向在於完全製造好之EUVL光罩是不能修復,且如果在基板或沉積層上有誤差就必須廢 棄。EUVL光罩製造所需之精確性使得無缺陷光罩有非常高的售價。用於層元件(像是EUVL光罩)之製造的逐層監控或逐步監控的系統改善生產良好光罩的產率且消除用於製造缺陷光罩的浪費。
現在參照圖1,顯示有整體極紫外光光罩生產系統100。此整體EUV光罩生產系統100包括一個基板104或多個基板被裝載入基板裝載與承載操作系統102其中。氣匣106提供存取口至晶圓傳送真空腔室108。所示之具體例中,晶圓傳送真空腔室108包含第一真空腔室110與第二真空腔室112之兩個真空腔室。在第一真空腔室110內是第一晶圓傳送系統114及在第二真空腔室112內是第二晶圓傳送系統116。
晶圓傳送真空腔室108具有圍繞其周圍而用於附接各種其他系統的複數個埠。第一真空腔室110具有除氣系統118、第一物理氣相沉積系統120、第二物理氣相沉積系統122、檢查腔室111、及預清潔系統124。在基板104之沉積之後,基板104可被傳送至檢查腔室111以偵測缺陷與誤差。
第二真空腔室112具有連接至第二真空腔室112之第一多陰極源126、可流動式化學氣相沉積(FCVD)系統128、固化系統130、及第二多陰極源132。
第一晶圓傳送系統114能在連續真空下於氣匣106與圍繞第一真空腔室100周圍之各種系統中移動晶圓(例如晶圓134)及移動晶圓穿過狹縫閥。第二晶圓傳送系統116在維持晶圓於連續真空下時,移動晶圓(例如晶圓136)環繞第二真空腔室112。已經發現此整體EUV光罩生產系統100 提供用於製造EUV光罩的理想環境。
現在參照圖2,顯示有根據本發明的第一具體例之用於沉積及度量的監控系統200的例視圖。監控系統200可監控用於製造EUVL光罩、磁性隨機存取記憶體(MRAM)元件、或其他層元件的受監控基板202之沉積處理與度量。
監控系統200可被併入圖1之整體極紫外光光罩生產系統100中。譬如,圖1之第一真空腔室110與圖1之第二真空腔室112可包括監控系統200。監控系統200可安置在各種次腔室中,例如圖1之第一物理氣相沉積系統120、圖1之第二物理氣相沉積系統122、圖1之第一多陰極源126、圖1之可流動式化學氣相沉積(FCVD)系統128、及圖1之檢查腔室111,做為範例。
受監控基板202可包括用於形成EUV光罩空白的基部結構。受監控基板202可等同於圖1之基板104。受監控基板202可包括石英、矽、玻璃陶瓷、或其他極低膨脹玻璃材料。受監控基板202可包括基板頂表面204。
受監控基板202可被安置於平臺206或沉積夾盤之上。平臺206在沉積或EUVL光罩空白製造處理期間可固持受監控基板202。平臺206在沉積處理期間可以是靜止的,但平臺206也可包括旋轉系統以旋轉受監控基板202。平臺的旋轉可助於受監控基板202上之沉積的均勻度。
監控系統200可包括感測器組件208。感測器組件208是用於一個感測器或一組感測器的外殼或封裝。感測器組件208內之感測器可包括主動式與被動式感測器、電荷耦合 元件(CCD)攝影機、可見光感測器、暗視野與明視野顯微鏡、X光反射系統、UV-EUV光線反射系統、雷射散射系統、或上述的一組合。所示之感測器組件208為單一外殼,但可理解到監控系統200也可包括用於容納複數個感測器的多個組件。
譬如,感測器組件208可包括第一感測器210或主要感測器及第二感測器212。第一感測器210與第二感測器212可做為用於傳遞UV或X光光束以監控之點源(source)、燈、光纖源、散射器、指向光纖、投射系統、或前述的組合。
感測器組件208之感測器系統可被固定以靜止不動於整體極紫外光光罩生產系統100之沉積腔室內。已經發現在感測器組件208內感測器的固定佈置會減少產生粒子與碎屑於腔室中的可動部件。
譬如,感測器組件208可包括直接安置在受監控基板202上方之第一感測器210且可包括筒體、光纖、陣列、準直管、或前述的組合,其定位與基板頂表面204成正交或90度角以發射輻射。第一感測器210可被定位越過中央區218,其為基板頂表面204之一中央點。
第二感測器212可安置鄰近於第一感測器210。所示第二感測器212之點源設置成與基板頂表面204成45度角,然而第二感測器212也可定位為與基板頂表面204成正交的角度。譬如,輻射可從點源發射而與基板頂表面204成45度角。
為了說明目的,第二感測器212可被調整朝向或對 準在基板頂表面204之邊緣區220,其為接近基板頂表面204之邊緣或末端之一點。然而,第二感測器212可被調整朝向中央區218以監控來自中央區218之鏡反射。
感測器組件208也可包括第三感測器214及第四感測器216,其可為偵測器。譬如,偵測器可接收來自點源(例如第一感測器210與第二感測器212)之發射或輻射。偵測器感測器陣列(例如第三感測器214與第四感測器216)可包括CCD式光譜計、攝影機、及顯微鏡。第三感測器214及第四感測器216可測量自表面反射光束與輻射之波長的振盪。
已經發現感測器組件208可用於在製造期間即時地監控EUVL光罩內之元件的缺陷、誤差、及規格之特徵。感測器組件208內之感測器可用以分析表面、薄膜、與多層之特性。譬如,顯微鏡、反射系統、及攝影機可安裝在感測器組件208之中以監控基板頂表面204之凹痕、表面粗糙度、粒子、及其他缺陷。
再者,已經發現監控系統200可被進線或原位實施於物理氣相沉積(PVD)、原子層沉積(ALD)、及可流動式CVD(FCVD)系統或其之組合中。譬如,監控系統200可被進線或原位結合在沉積腔室內,例如第一物理氣相沉積系統120。監控系統200在一完整沉積處理期間可即時監控表面與層厚度。
監控器可包括電荷耦合元件(CCD)攝影機、可見光感測器、暗視野與明視野顯微鏡、X光反射系統、UV-可見光反射系統、雷射散射系統、或其之組合。光譜計可用於分 析感測器讀數,例如散射異常與波長調變。在受控制或預測試樣信號中的異常會指示出表面上的缺陷及規格層厚度階層。
再者,已經發現感測器組件208可用於監控沉積在受監控基板202之上的層之厚度均勻度、界面粗糙度、及組成。在受監控基板202之掃描及可能的清潔後,Mo及Si層可被沉積在受監控基板202上,且各層可被單獨地掃描出在層表面之頂部上的缺陷。在建構中的各層可被掃描以確保平坦度、厚度、及均勻度在規格之內。
已經發現監控系統200可監控與記錄在受監控基板202上之各沉積層的特性。由感測器組件208得到的資料與資訊可用於調整後續生產循環之沉積處理及模式。
感測器208可包括X光反射系統或X光反射計(XRR)。類似於紫外光-可見光光譜,X光光束也可在基板頂表面204上反射以量測反射光束的強度。如果頂表面204是在規格之外,反射強度將會偏離預測密度輪廓。X光反射及XRR可用於測定1至2埃之規格寬度。
取代在EUVL光罩空白或MRAM完成後之測定缺陷,各沉積層可被連續地掃描或在沉積各層之後被掃描。因此,已經發現藉由消除花費在具有缺陷之完成光罩的浪費之時間及材料,製造EUVL光罩與MRAM元件之產率可被提昇。譬如,EUVL光罩可具有85至90層不同沉積層,其需要數小時的沉積時間。在耗時與昂貴沉積處理開始前,起始缺陷基板可被移除或清潔。藉由監控受監控基板202上之各沉 積層,如果發現缺陷,沉積處理可被中止,而可保存更多的時間及材料。
再者,已經發現連續或逐層監控之方法由使用綠光或495nm至570nm之波長提供各沉積層精準且精確的掃描。綠光可用於僅監控各沉積表面,其可確切地指出哪一層或寬度包括缺陷。此提供了相較於其他波長的優勢在於更深的穿透,由於缺陷可發生在穿透深度之一般區的任何層中。
也已經發現連續或逐層監控可用於調節或調整沉積處理。監控可用於偵測沉積機器的歷史沉積趨勢且用於調整各沉積層之沉積時間。
現在參照圖3,顯示有感測器組件208之示例硬體方塊圖。感測器組件208可包括複數個檢查工具,例如光反射系統302、X光系統304、雷射系統306、及攝影機系統308。為了說明目的,檢查工具與感測器組件208之感測器系統被說明為分離系統,然而理解到這些系統彼此可連結運作且利用相同的硬體。
光反射系統302為可使用紫外光或可見光於分析表面、薄膜、及多層之特徵的系統。譬如,當反射光離開基板或層之表面時,光反射系統302可用於偵測振幅位移及相位移。光反射系統302可包括使用波長為10奈米(nm)至600nm,包括綠光與EUV光。
光反射系統302可包括光譜方法及例如紫外光-可見光光譜或紫外光-可見光分光光度法之系統。譬如,光反射系統302可包括操作於暗視野之具有EUV產生電漿源的投射物 鏡式顯微鏡。
光反射系統302可使用圖2之第一感測器210、圖2之第二感測器212、圖2之第三感測器214、圖2之第四感測器216、或前述之組合做為點源與偵測器元件。譬如,第一感測器210可為紫外光-可見光光譜系統之點源且第三感測器214可為偵測器。
X光系統304包括利用X光反射或X光反射計之感測器。X光光束被投射或反射由點源至表面,例如圖2之基板頂表面204。反射X光之強度被量測分析。譬如,如果表面不是鮮明及平滑的,那麼反射強度將偏離藉由菲涅耳(Fresnel)反射定律所預測的。偏離量之後可被分析以獲得正交於表面的界面之強度輪廓。
X光系統304使用被感測器組件208包裝之感測器可投射及偵測感測器讀數。譬如,X光系統304可使用第一感測器210、第二感測器212、第三感測器214、第四感測器216、或前述之組合做為點源或感測器元件。
雷射系統306包括可利用雷射反射干涉計及光譜以測定表面之厚度及光滑度之感測器。雷射系統306在操作上可類似於光反射系統302及X光系統304。譬如,雷射系統306可包括405nm雷射二極體做為點源以被反射到偵測器之上。
攝影機系統308可包括被包括在感測器組件208中之未被其他系統利用的其他檢查工具。攝影機系統308可包括CCD攝影機、CCD式顯微鏡、離子束/掃描式電子顯微鏡 (FIB/SEM)、明視野或暗視野設置、穿透式電子顯微鏡(TEM)、原子力顯微鏡(AFM)、或前述之一組合。
光反射系統302、X光系統304、雷射系統306、及攝影機系統308可被併合在相同腔室或不同腔室中。譬如,光反射系統302可被併合在沉積腔室中,例如圖1之第一物理氣相沉積系統120。X光系統304可被併合在檢查腔室111內。已經發現圖1之基板104可被移出沉積腔室及傳送入圖1之檢查腔室,以X光系統304監控各沉積層。
現在參照圖4,顯示有根據本發明的第二具體例之用於沉積及度量的監控系統400的例視圖。此例視圖可包括來自應用或沉積處理之材料層402。
材料層402可包括沉積在受監控基板202上及平臺206上方之薄膜或材料。譬如,材料層402可包括平坦化層、鉬(Mo)、矽(Si)、或用於製造EUVL光罩之其他材料。材料層402之第一層可直接沉積於基板頂表面204上。
材料層402可包括層頂表面404及沉積厚度406。層頂表面404是沉積在受監控基板202上之材料層402之最上方試樣之頂表面。譬如,層頂表面404是沉積在受監控基板202上之第一層的頂表面。
為了說明目的,如果受監控基板202上之第九十層是最上層,可理解到層頂表面404會是第九十層當前的頂表面。沉積厚度406是材料層402之寬度或厚度。譬如,受監控基板202上之矽層的沉積厚度406會是3-4nm厚。
監控系統400可包括定向於與層頂表面404及基板 頂表面204成45度角的感測器組件408。譬如,感測器組件408可包括位在腔室左側的點源410及位在腔室右側之偵測器。此例示圖顯示輻射呈45度角由層頂表面404反射且被偵測器412接收。
現在參照圖5,顯示有在中間沉積相之圖2中的結構。此例視圖可顯示沉積在受監控基板202上之多層堆疊。此例視圖可包括受監控基板202、材料層402、層頂表面404、內部矽層502、與內部鉬層504、及第二材料層507。
第二材料層507沉積在材料層402之頂部上。因為第二材料層507是最上層沉積層,層頂表面404是第二材料層507之頂表面。此例視圖可包括包裝在單一組件內之點源506與偵測器508,例如圖2之感測器組件208所示。點源506與偵測器508可定向為反射與接收與層頂表面404成45度角之輻射。
現在參照圖6,顯示有圖2所示之受監控基板202的頂視圖。受監控基板202可被圖2之平臺206所支撐。為了說明目的,受監控基板202被顯示為方形,然而可理解到受監控基板202可由圓形晶圓所生產。
此頂視圖可包括圖2所示之邊緣區220。圖2之感測器組件208可接收來自邊緣區220之光譜特性與密度輪廓資訊。受監控基板202在沉積處理期間可被安置在旋轉靜電夾盤上。
此頂視圖可包括基於來自旋轉靜電夾盤上之邊緣區220的感測器讀數之外周圍602。由於旋轉的關係,外周圍602 可提供受監控基板202或圖4之材料層402之周圍的光譜特性與密度輪廓資訊。
現在參照圖7,顯示有本發明的具體例中之圖2的監控系統200操作之方法700。方法700可包括在方塊702提供圖2之受監控基板202。受監控基板202可放置在圖2之平臺206上,平臺206可包括旋轉靜電夾盤。
在方塊704,受監控基板202可被圖2之感測器組件208掃描。此掃描可包括任何主動式、被動式、或圖3所述之反射系統,以偵測缺陷與粒子、例如在圖2之基板頂表面204上之圓槽、溝、刮痕、捆束、變形、結石、卵石、及凹痕。如果偵測到任何缺陷,受監控基板202在方塊706可被清潔或替換。此處理可回到方塊702以重新使用無缺陷基板。
在掃描無缺陷基板後,在方塊708或沉積處理時,材料層402可形成或施加在受監控基板202上方。材料層402的沉積可包括CVD、PLD、ALD、及FCVD方法,以將材料層402沉積在受監控基板202上方。
在方塊710中之沉積處理期間,材料層402可被監控。在方塊710時的監控可包括連續掃描或沉積層完成後之掃描。材料層402之監控可在整個沉積處理期間為連續的。材料層402之連續掃描或逐層掃描可產生各層之光譜特性715與密度輪廓。已經發現各材料層402之光譜特性715與密度輪廓可被記錄以分析及調整沉積機器。
或者,材料層402可在各層完成後被掃描與監控。 譬如,材料層402可在矽層、鉬層、或Mo/Si對完成後被掃描。在另一材料層402沉積之後,圖4之層頂表面404可被掃描,且依照沉積在受監控基板202上之層的數目持續地掃描。
在沉積時監控材料層402期間,在方塊712可偵測誤差711。誤差711定義為材料層402中之缺陷。誤差711可為在厚度均勻度、表面粗糙度、界面粗糙度、組成之特定情況下的結果與在單一層沉積處理期間發現的任何粒子。
基於材料層402之誤差711的警報713可產生在方塊714。警報713可包括具有材料層402之密度輪廓與光譜特性資訊的報告,其由誤差711所產生。
缺陷基板或光罩空白試樣可在方塊716被廢棄。已經發現缺陷的沉積基板或光罩空白試樣可在單一層之沉積誤差的時候被廢棄,而非在整個光罩完成之後。藉由降低浪費在缺陷試樣之時間與材料,監控系統200提供之逐層監控增加無缺陷EUVL光罩空白之產率。
如果監控處理在沉積期間沒有偵測到任何缺陷或誤差,沉積處理可在方塊718結束。沉積處理完成後之試樣可被傳送至另一腔室以進行方塊720中之進一步沉積或製造步驟。EUVL光罩試樣也可被傳送至圖1之檢查腔室111以進行方塊722中之後完全掃描。
現在參照圖8,顯示有本發明的進一步具體例之圖2的監控系統200操作之方法800。方法800可包括在方塊802提供圖2之受監控基板202。受監控基板202可放置在圖2 之平臺206上,其包括旋轉靜電夾盤。
在方塊804,受監控基板202可以圖2之感測器組件208掃描。此掃描可包括任何圖3所述之反射系統,以偵測缺陷與粒子、例如在圖2之基板頂表面204上之圓槽、溝、刮痕、捆束、變形、結石、卵石、及凹痕。如果偵測到任何缺陷,受監控基板在方塊806可被清潔或替換。此處理可回到方塊802以重新使用無缺陷基板。
在掃描無缺陷基板後,在方塊808或沉積處理時,材料層402可形成或施加在受監控基板202上方。材料層的沉積可包括CVD、PLD、ALD、及FCVD方法,以將材料層402沉積在受監控基板202上方。
在材料層402的沉積或一對層(例如Si與Mo)的沉積後,基板試樣在方塊810可被傳送至檢查腔室。材料層402或Si/Mo層對在方塊812可被掃描缺陷與誤差。
在沉積時監控材料層402期間,在方塊814可偵測誤差811。誤差811定義為材料層402中之缺陷。誤差811可為在厚度均勻度、表面粗糙度、界面粗糙度、組成之特定情況下的結果與在單一層沉積處理期間發現的任何粒子。
在誤差811的偵測之後,在方塊816可產生基於誤差811的警報813。警報813可包括具有材料層402之密度輪廓與光譜特性資訊的報告,其由誤差811所產生。缺陷基板或光罩空白試樣可在方塊818被廢棄。
材料層402可通過掃描,其指示出厚度均勻度、表面粗糙度、界面粗糙度、組成與任何粒子是在規格內且在方 塊820之預定之容忍等級內。如果通過規格參數,受監控基板202或EUVL光罩試樣可回到沉積腔室以在方塊822連續沉積多層之其他層。
現在參照圖9,顯示有EUV微影系統900。EUV微影系統900包括EUV光源區902、光罩平臺(reticle stage)904與晶圓平臺906。由圖1之整體極紫外光光罩生產系統100與監控系統200所製造之EUVL光罩可使用在EUV微影系統900。
此揭露之方法、處理、設備、裝置、產品、及/或系統是直接的、有成本效益的、不複雜的、高度通用的、準確的、敏感的、及有效的,且可藉由適於已知元件被實施,以用於就緒、有效率且經濟的製造、應用及利用。
本發明的另一個重要的態樣是其有價值地支持與服務降低成本、簡化系統、與提高效能的歷史潮流。
因此,本發明之這些與其他有價值的態樣將此技術之狀態至少提昇到下一個等級。
當此發明與特定最佳模式一同被說明時,應理解到由於上述之說明,許多的替換、改良、及變化對於此領域之熟知技藝者是顯而易見的。因此,此發明意於包含落在申請專利範圍範疇下之所有替換、改良、及變化。在此說明或顯示於隨附圖式中的所有物件是示意的說明且非限制性的。

Claims (18)

  1. 一種操作一監控系統的方法,包含以下步驟:提供一基板於一平臺上;執行該基板之一掃描;沉積一材料層於該基板上;監控該材料層之一沉積厚度,其中監控該沉積厚度之步驟包括以一波長為495nm至570nm掃描;以及基於該沉積厚度的一誤差產生一警報。
  2. 如請求項1所述之方法,其中監控該沉積厚度之步驟包括:在一沉積處理期間,執行一連續掃描。
  3. 如請求項1所述之方法,其中監控該沉積厚度之步驟包括:監控該材料層之一光譜特性。
  4. 如請求項1所述之方法,更包含:沉積一第二材料層於該材料層上;以及其中監控該沉積厚度之步驟包括該材料層與該第二材料層之一連續掃描。
  5. 如請求項1所述之方法,其中監控該沉積厚度之步驟包括:掃描一中央區與一邊緣區。
  6. 如請求項1所述之方法,其中監控該沉積厚度之步驟包括:以一光反射系統監控。
  7. 如請求項1所述之方法,其中監控該沉積厚度之步驟包括:以一X光系統監控。
  8. 一種監控系統,包含:用於支撐一基板的一平臺;用於沉積一材料層於該基板上的一沉積系統;用於偵測該材料層中之一誤差的一感測器組件,其中該感測器組件包括用於以一波長為495nm至570nm掃描的一光反射系統;以及用於沉積另一該材料層於該基板上的一第二沉積系統。
  9. 如請求項8所述之系統,其中該平臺可包括:一靜電夾盤、一旋轉夾盤、或前述之一組合。
  10. 如請求項8所述之系統,其中該感測器組件包括:一光反射系統、一X光系統、一雷射系統、一攝影機系統、或前述之一組合。
  11. 如請求項8所述之系統,其中該感測器組件可包括:一點源(source)與一偵測器,該點源與該偵測器被定向為對於該材料層之一頂表面層成45度角。
  12. 如請求項8所述之系統,更包含:在沉積該材料層後,用於掃描一沉積厚度的一檢查腔室。
  13. 一種操作一監控系統的方法,包含以下步驟:提供一基板於一平臺上,該平臺包括一旋轉靜電夾盤;執行該基板之一掃描;沉積一材料層於該基板上;監控該材料層之一沉積厚度,其中監控該沉積厚度的步驟包括以一波長為495nm至570nm掃描;以及基於該沉積厚度的一誤差或該基板之一缺陷產生一警報。
  14. 如請求項13所述之方法,其中執行該基板之掃描的步驟包括:以一光反射系統、一X光系統、一雷射系統、一攝影機系統、或前述之一組合執行該掃描。
  15. 如請求項13所述之方法,其中監控該沉積厚度之步驟包括:傳遞該基板至一檢查腔室。
  16. 如請求項13所述之方法,其中監控該沉積厚度之步驟包括:掃描該材料層之一外周圍。
  17. 如請求項13所述之方法,其中執行該基板之掃描的步驟包括:以一光反射系統掃描。
  18. 如請求項13所述之方法,其中執行該基板之掃描的步驟包括:以一X光系統掃描。
TW103144549A 2013-12-22 2014-12-19 用於沉積之監控系統及其操作方法 TWI647530B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361919776P 2013-12-22 2013-12-22
US61/919,776 2013-12-22

Publications (2)

Publication Number Publication Date
TW201527870A TW201527870A (zh) 2015-07-16
TWI647530B true TWI647530B (zh) 2019-01-11

Family

ID=53403780

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103144549A TWI647530B (zh) 2013-12-22 2014-12-19 用於沉積之監控系統及其操作方法

Country Status (7)

Country Link
US (1) US20160341544A1 (zh)
JP (2) JP6653255B2 (zh)
KR (1) KR102291659B1 (zh)
CN (1) CN105917453B (zh)
SG (2) SG10201805222PA (zh)
TW (1) TWI647530B (zh)
WO (1) WO2015095799A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10111103B2 (en) * 2016-03-02 2018-10-23 EyeVerify Inc. Spoof detection using proximity sensors
US10818564B2 (en) * 2016-03-11 2020-10-27 Applied Materials, Inc. Wafer processing tool having a micro sensor
JP6606448B2 (ja) * 2016-03-17 2019-11-13 株式会社Screenホールディングス 塗膜検査装置、塗膜検査方法および膜・触媒層接合体の製造装置
JP6869648B2 (ja) * 2016-06-07 2021-05-12 日東電工株式会社 多層膜の成膜方法
TWI737804B (zh) * 2017-09-04 2021-09-01 李亞玲 Cvd沉膜偏移製程異常之量測與監控方法
JP7009230B2 (ja) * 2018-01-23 2022-01-25 株式会社日立ビルシステム 非破壊検査装置及び非破壊検査方法
US10815561B2 (en) * 2018-03-10 2020-10-27 Applied Materials, Inc. Method and apparatus for asymmetric selective physical vapor deposition
KR102245198B1 (ko) * 2019-11-28 2021-04-27 대양전기공업 주식회사 레이저 스캐터링을 적용한 센서 제조방법 및 센서
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
WO2023121494A1 (en) * 2021-12-22 2023-06-29 Qatar Foundation For Education, Science And Community Development Automated multi-layer two-dimensional printing
DE102022205971A1 (de) * 2022-06-13 2023-12-14 Carl Zeiss Smt Gmbh Verfahren zum Beschichten eines Spiegelsubstrats mit einer für Nutz- Wellenlängen hochreflektierenden Mehrlagen-Beschichtung sowie Beschichtungsanlage zur Durchführung eines derartigen Verfahrens

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US20050018183A1 (en) * 2003-07-23 2005-01-27 Shortt David W. Method and apparatus for determining surface layer thickness using continuous multi-wavelength surface scanning
CN101726499A (zh) * 2008-10-10 2010-06-09 丰田自动车株式会社 表面检查装置
US20110171758A1 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. Reclamation of scrap materials for led manufacturing
TWM485447U (zh) * 2014-05-30 2014-09-01 G E Products Co Ltd 具可換式筆頭之觸控筆

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03281780A (ja) * 1990-03-30 1991-12-12 Hitachi Ltd Cvd装置
US6051113A (en) 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6706541B1 (en) 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
US6563578B2 (en) * 2001-04-02 2003-05-13 Advanced Micro Devices, Inc. In-situ thickness measurement for use in semiconductor processing
US6781687B2 (en) * 2002-09-26 2004-08-24 Orbotech Ltd. Illumination and image acquisition system
JP3683261B2 (ja) * 2003-03-03 2005-08-17 Hoya株式会社 擬似欠陥を有する反射型マスクブランクス及びその製造方法、擬似欠陥を有する反射型マスク及びその製造方法、並びに擬似欠陥を有する反射型マスクブランクス又は反射型マスクの製造用基板
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7179568B2 (en) * 2003-07-10 2007-02-20 Wisconsin Alumni Research Foundation Defect inspection of extreme ultraviolet lithography masks and the like
JP3811150B2 (ja) * 2003-09-05 2006-08-16 株式会社東芝 膜厚測定方法、膜厚測定システム、半導体装置の製造方法及び膜厚測定システム制御プログラム
JP2005281859A (ja) * 2004-03-03 2005-10-13 Sanyo Electric Co Ltd 堆積厚測定方法、材料層の形成方法、堆積厚測定装置および材料層の形成装置
JP2005281858A (ja) * 2004-03-03 2005-10-13 Sanyo Electric Co Ltd 堆積厚測定方法、材料層の形成方法、堆積厚測定装置および材料層の形成装置
CN100487948C (zh) * 2004-03-03 2009-05-13 三洋电机株式会社 测定沉积膜厚度的方法及装置和形成材料层的方法及装置
US8472020B2 (en) * 2005-02-15 2013-06-25 Cinram Group, Inc. Process for enhancing dye polymer recording yields by pre-scanning coated substrate for defects
JP4979941B2 (ja) * 2005-03-30 2012-07-18 Hoya株式会社 マスクブランクス用ガラス基板の製造方法、マスクブランクスの製造方法
US7566900B2 (en) 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
JP4990548B2 (ja) * 2006-04-07 2012-08-01 株式会社日立製作所 半導体装置の製造方法
US20070281075A1 (en) * 2006-05-31 2007-12-06 Cheng-Chia Huang Optical method to monitor nano thin-film surface structure and thickness thereof
US8268385B2 (en) * 2007-05-25 2012-09-18 Southwell William H Optical monitor with computed compensation
CN101398393B (zh) * 2007-09-28 2011-02-02 上海华虹Nec电子有限公司 硅片制品缺陷分析方法及装置
US8225683B2 (en) * 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
US20110308453A1 (en) 2008-01-31 2011-12-22 Applied Materials, Inc. Closed loop mocvd deposition control
KR20100069392A (ko) * 2008-12-16 2010-06-24 삼성전자주식회사 증착, 식각 혹은 클리닝 공정에서 증착, 식각 혹은 클리닝 종료 시점을 결정하기 위하여 수정 결정 미소저울을 이용하는 반도체 소자의 제조장치 및 이를 이용한 제조방법
KR101179269B1 (ko) * 2010-11-30 2012-09-03 에스케이하이닉스 주식회사 극자외선용 블랭크 마스크 및 그 형성방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US20050018183A1 (en) * 2003-07-23 2005-01-27 Shortt David W. Method and apparatus for determining surface layer thickness using continuous multi-wavelength surface scanning
CN101726499A (zh) * 2008-10-10 2010-06-09 丰田自动车株式会社 表面检查装置
US20110171758A1 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. Reclamation of scrap materials for led manufacturing
TWM485447U (zh) * 2014-05-30 2014-09-01 G E Products Co Ltd 具可換式筆頭之觸控筆

Also Published As

Publication number Publication date
CN105917453B (zh) 2021-10-15
JP2017510827A (ja) 2017-04-13
KR20160102511A (ko) 2016-08-30
US20160341544A1 (en) 2016-11-24
WO2015095799A1 (en) 2015-06-25
JP7116753B2 (ja) 2022-08-10
SG10201805222PA (en) 2018-08-30
JP6653255B2 (ja) 2020-02-26
JP2020095274A (ja) 2020-06-18
TW201527870A (zh) 2015-07-16
SG11201604721VA (en) 2016-07-28
KR102291659B1 (ko) 2021-08-18
CN105917453A (zh) 2016-08-31

Similar Documents

Publication Publication Date Title
TWI647530B (zh) 用於沉積之監控系統及其操作方法
KR102190305B1 (ko) 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
TWI686845B (zh) 用於沈積之監控系統與其中之操作之方法
TWI485394B (zh) 物件檢測系統及方法
JP5389235B2 (ja) オーバーレイエラーを判定するための方法及び装置
JP5198836B2 (ja) 基板特性測定方法、インスペクション装置、リソグラフィ装置およびリソグラフィセル
JP4812712B2 (ja) 基板の特性を測定する方法及びデバイス測定方法
TWI448658B (zh) 量測疊對誤差的方法及器件製造方法
TWI435182B (zh) 角度分辨散射計及檢查方法
TWI589854B (zh) 用於改良測量精確度之方法及裝置
TW201009514A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2014187656A1 (en) Inspection method and apparatus, substrates for use therein and device manufacturing method
TWI424281B (zh) 微影裝置及元件製造方法
JP5443405B2 (ja) リソグラフィ装置及びデバイス製造方法
CN111670412B (zh) 检测设备和检测方法
WO2019170357A1 (en) Anti-reflection optical substrates and methods of manufacture
NL2003292A (en) A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus.
TWI798758B (zh) 製程偏差判定方法、校準方法、檢測工具、製造系統及樣品
TW201937306A (zh) 圖案化一半導體裝置之至少一層之方法
TWI789795B (zh) 單石粒子檢測裝置