CN105917453B - 用于沉积之监控系统及其操作方法 - Google Patents

用于沉积之监控系统及其操作方法 Download PDF

Info

Publication number
CN105917453B
CN105917453B CN201480073548.7A CN201480073548A CN105917453B CN 105917453 B CN105917453 B CN 105917453B CN 201480073548 A CN201480073548 A CN 201480073548A CN 105917453 B CN105917453 B CN 105917453B
Authority
CN
China
Prior art keywords
substrate
monitoring
defects
layer
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480073548.7A
Other languages
English (en)
Other versions
CN105917453A (zh
Inventor
马耶德·A·福阿德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105917453A publication Critical patent/CN105917453A/zh
Application granted granted Critical
Publication of CN105917453B publication Critical patent/CN105917453B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种监控系统及其操作方法包括:提供基板于平台上;执行扫描基板;沉积材料层于基板上;监控材料层的沉积厚度;及基于沉积厚度的误差产生警报。

Description

用于沉积之监控系统及其操作方法
相关申请的交互引用
本申请要求享有2013年12月22日申请的美国临时申请第61/919,776号的优先权权益,该案的内容全文以引用的方式并入本文中。
技术领域
本发明一般涉及一种监控系统,且更特定为关于一种用于远紫外光光刻中的沉积系统的监控系统。
背景
远紫外光光刻(EUVL,也被称为软X光投射光刻)为取代用于制造0.13微米及更小的最小特征尺寸半导体装置的深紫外光光刻的竞争者。
然而,远紫外光线(通常在5至40纳米的波长范围)实际上会被所有材料强烈地吸收。基于此原因,运作远紫外光系统是通过光反射而非光穿透。通过使用一系列的镜子、或透镜元件,与反射元件、或掩模空白(mask blank),涂布以非反射吸收剂掩模图案,图案化的光化光被反射至涂布光刻胶的半导体晶片上。
远紫外光光刻系统的透镜元件与掩模空白被涂布反射多层涂层材料,例如钼与硅。通过使用涂布多层涂层的基板,此涂层本质上强烈地反射在极窄的紫外光带通(例如13纳米紫外光线的12至14纳米带通)内的单一波长的光,已经得到每个透镜元件或掩模空白有约65%的反射值。
在半导体处理技术中有许多种类的缺陷会造成掩模问题。不透明缺陷通常是由多层涂层的顶部的粒子或应该反射光线的掩模图案却吸收光线所造成。透光缺陷通常是由于穿过在多层涂层的顶部的掩模图案中的针孔的光线应该被吸收,但却被反射所造成。
相缺陷的原因通常是由于多层涂层下方的刮痕与表面变异所造成,而该刮痕与表面变异造成反射光的相转变。这些相转变造成光波干涉效应,其扭曲或改变曝光于半导体晶片表面上的光刻胶的图案。因为在小于0.13微米最小特征尺寸时,必须使用较短的照射波长,使之前是无关紧要的刮痕与表面变异,现在变得无法接受。
尽管已经进行降低及消除粒子缺陷的处理与修复掩模中的不透光及透光缺陷的作业,迄今仍未处理相缺陷问题。对于深紫外光光刻,表面已被处理以维持相转变小于60度。用于远紫外光光刻的类似的处理仍然在发展中。
对于光化波长13纳米而言,在下层表面小至3纳米深度的刮痕使得由多层涂层反射的光会发生180度的相转变。越短的波长会有越浅的深度。类似地,在相同波长下,表面变异陡陗的程度大于一(1)纳米提升超过一百(100)纳米时,可造成类似的相转变。这些相转变会造成在半导体晶片表面的相缺陷与半导体装置不可修复的损害。
在过去,用于深紫外光光刻的掩模空白通常为玻璃,但硅或极低热膨胀材料已被提议可作为用于远紫外光光刻中的选择。不管此掩模空白是玻璃、极低热膨胀材料、或硅,此掩模空白的表面通过使用研磨剂的机械抛光被制成尽可能的平滑。这样处理后所遗留的刮痕有时被称为“刮痕-凹洞(scratch-dig)标记”,且其深度与宽度取决于用以抛光此掩模空白的研磨剂中的粒子尺寸。在可见光与深紫外光光刻,这些刮痕太小而不造成在半导体晶片上图案中的相缺陷。然而,在远紫外光光刻,刮痕-凹洞标记是重大的问题,因为其会以相缺陷形式出现。
由于用于EUV光刻的短照明波长,使用的图案掩模必须是反射式掩模,而非现行光刻所使用的穿透式掩模。反射式掩模是以钼及硅的交替薄层的精确堆叠所制成,其创造出布拉格折射器或镜子。因为多层堆叠的本质与小特征尺寸,多层堆叠沉积于其上的基板表面中的任何瑕疵会被放大且影响最终产品。在几个纳米尺度的瑕疵会在最终掩模上显露为可印缺陷,且需要在多层堆叠沉积前从掩模空白的表面消除。
一般瑕疵包括凹痕、刮痕及粒子。一般清洁技术去除许多粒子,但产生新凹痕或放大存在的凹痕。这些凹痕可来自抛光或清洁处理,或可来自基板材料本身的夹杂物或瑕疵,其在切割与抛光处理时被暴露出来。进一步的抛光可用以移除表面的凹痕,但其也有在处理中造成或暴露出新的凹痕的风险,其限制单独使用抛光以光滑及平坦基板表面的效用。用于基板光滑的另一方法是激光或等离子体退火。这些技术熔化与重流(reflow)玻璃基板的一薄表面层,移除局部缺陷。问题在于其诱使在基板表面中的较长范围粗糙度或波动,且因而不能提供EUV掩模所需的基板平坦度。
由于电子部件的更小特征尺寸的需求不断增加,找到这些问题的解答越来越关键。由于持续上升的商业竞争压力,及成长的消费者期望,找到这些问题的解答是重点。此外,降低成本、改善效率及效能、与应付竞争压力的需求增加了更大的急迫性于找寻这些问题的解答的关键必要性。
这些问题的解答已被长期探索,但先前发展并末教示或建议任何解答,且因此这些问题的解答已长期困惑着本领域技术人员。
概述
本发明提供一种操作监控系统的方法,包含以下步骤:提供基板于平台上;执行基板的扫描;沉积材料层于基板上;监控材料层的沉积厚度;以及基于沉积厚度的误差产生警报。
本发明提供一种监控系统,包含:用于支撑基板的平台;用于沉积材料层于基板上的沉积系统;用于检测材料层中的误差的传感器组件;以及用于沉积另一材料层于基板上的第二沉积系统。
本发明的某些实施方式具有上述之外或取代其的其他步骤或元件。这些步骤与元件对于阅读以下的具体描述并参照随附附图的本领域技术人员而言是显而易见的。
附图简要说明
图1是整体远紫外光掩模生产系统。
图2是根据本发明的第一实施方式的用于沉积及度量(metrology)的监控系统的例视图。
图3是传感器组件的示例性硬件方块图。
图4是根据本发明的第二实施方式的用于沉积及度量的监控系统的例视图。
图5是在中间沉积相的图2中的结构。
图6是图2所示的受监控基板的顶视图。
图7是根据本发明的实施方式的图2的监控系统操作的方法。
图8是根据本发明的进一步实施方式的图2的监控系统操作的方法。
图9是EUV光刻系统。
具体描述
下列实施方式被充分详细地说明,使本领域技术人员能制造及使用本发明。应了解到基于本发明的公开内容,其他的实施方式是显而易见的,且在不背离本发明的范围下,可进行本发明的系统、处理或机械的改变。
在下列的说明中,给出许多明确细节以提供完整地了解本发明。然而,很明显地,本发明可在没有这些明确细节下被实行。为了避免混淆本发明,不详细公开某些熟知的部件与电路、系统设置、及处理步骤。
显示系统的实施方式的附图是半概略式的,且不照尺寸绘制,及更特定地,某些维度是为了说明的明确性而在附图中被夸大表示。类似地,虽然附图中的视图为了便于说明通常表示为相似的定向,但附图中的描绘在大部分的情况下是随意的。大体上,本发明可以任何定向操作。
当被公开与说明的多个实施方式具有共通的相同特征时,为了显示、说明及理解的明确性与简易性,类似与相像特征将以类似的附图标记说明。
为了说明目的,本文中使用用语“平行的”是定义为一平面平行于掩模空白或基板的平面或表面,而无视其定向。用语“垂直的”视为正交于方才定义的平行的方向。用语像是“之上”、“之下”、“底部”、“顶部”、“侧边(像是“侧壁”)”、“更高”、“更低”、“上方”、“越过”及“下方”是参考平行的平面而定义的,如附图中所示。用语“上”表示在元件之间有直接接触。
当在需要形成说明的结构时,本文所用用语“处理”包括沉积材料或光刻胶、图案化、曝光、显影、蚀刻、清洁、及/或移除材料或光刻胶。
本发明的实施方式是用于监控及创造本说明书中的层装置。层装置可包括掩模空白、镜子、及电子装置,其包括薄膜的多层叠层。例如,层装置可包括磁性随机存取存储器(MRAM)、远紫外光(EUV)掩模空白、远紫外光光刻(EUVL)、X光镜子、或其他多层反射式装置。本发明包括在制造上述装置期间,用于监控均匀薄膜厚度与界面质量的系统与方法。
沉积系统可包括用于监控层装置中的各薄膜层的厚度、均匀度、平坦度、及界面质量的传感器组件。传感器组件可包括单一传感器、在单一组件外壳中的多个传感器、或在沉积与制造系统内的不同位置的多个传感器。
传感器组件也可包括电荷耦合装置(CCD)、X光反射器、EUV反射器、激光散射技术、激光阴极传感器、或上述的组合以监控薄膜层的厚度、界面清晰度、平坦度、及均匀度。传感器组件的传感器的精确度可包括埃
Figure BDA0001053312270000051
的0.1。
带有传感器组件的沉积系统可监控与显示关于各材料层的薄膜厚度、薄膜均匀度、界面清晰度、及表面平坦度的实时信息。传感器组件也可用于监控薄膜层之间的交互扩散与薄膜层内的缺陷。传感器组件也可监测缺陷与粒子,例如:在各层之内与之上的圆槽、沟、刮痕、捆束、变形、结石、卵石、及凹痕。
例如,传感器组件可使用X光反射、UV反射、与激光散射技术以监控及分析厚度均匀度、界面粗糙度、薄膜组成的特性,及使用对于每一单独沉积层的原位或一列式检测而检测粒子。在形成或制造阶段期间,本发明的实施方式可监控不同组成物或元件的各层。传感器组件可提供关于各层的规格的立即回馈。
上述一个重要的创新方面在于完全制造好的EUVL掩模是不能修复,且如果在基板或沉积层上有误差就必须废弃。EUVL掩模制造所需的精确性使得无缺陷掩模有非常高的售价。用于层装置(像是EUVL掩模)的制造的逐层监控或逐步监控的系统改善生产良好掩模的产率且消除用于制造缺陷掩模的浪费。
现在参照图1,显示有整体远紫外光掩模生产系统100。此整体EUV掩模生产系统100包括一个基板104或多个基板被装载入基板装载与承载操作系统102其中。气匣106提供进出口至晶片传送真空腔室108。所示的实施方式中,晶片传送真空腔室108包含第一真空腔室110与第二真空腔室112的两个真空腔室。在第一真空腔室110内是第一晶片传送系统114及在第二真空腔室112内是第二晶片传送系统116。
晶片传送真空腔室108具有围绕其周围而用于附接各种其他系统的多个端口。第一真空腔室110具有除气系统118、第一物理气相沉积系统120、第二物理气相沉积系统122、检查腔室111、及预清洁系统124。在基板104的沉积之后,基板104可被传送至检查腔室111以检测缺陷与误差。
第二真空腔室112具有连接至第二真空腔室112的第一多阴极源126、可流动式化学气相沉积(FCVD)系统128、固化系统130、及第二多阴极源132。
第一晶片传送系统114能在连续真空下于气匣106与围绕第一真空腔室100周围的各种系统中移动晶片(例如晶片134)及穿过狭缝阀。第二晶片传送系统116在维持晶片于连续真空下时,移动晶片(例如晶片136)环绕第二真空腔室112。已经发现此整体EUV掩模生产系统100提供用于制造EUV掩模的理想环境。
现在参照图2,显示有根据本发明的第一实施方式的用于沉积及度量的监控系统200的例视图。监控系统200可监控用于制造EUVL掩模、磁性随机存取存储器(MRAM)部件、或其他层装置的受监控基板202的沉积处理与度量。
监控系统200可被并入图1的整体远紫外光掩模生产系统100中。例如,图1的第一真空腔室110与图1的第二真空腔室112可包括监控系统200。监控系统200可安置在各种子腔室中,例如图1的第一物理气相沉积系统120、图1的第二物理气相沉积系统122、图1的第一多阴极源126、图1的可流动式化学气相沉积(FCVD)系统128、及图1的检查腔室111,做为范例。
受监控基板202可包括用于形成EUV掩模空白的基部结构。受监控基板202可等同于图1的基板104。受监控基板202可包括石英、硅、玻璃陶瓷、或其他极低膨胀玻璃材料。受监控基板202可包括基板顶表面204。
受监控基板202可被安置于平台206或沉积夹盘之上。平台206在沉积或EUVL掩模空白制造处理期间可固持受监控基板202。平台206在沉积处理期间可以是静止的,但平台206也可包括旋转系统以旋转受监控基板202。平台的旋转可助于受监控基板202上的沉积的均匀度。
监控系统200可包括传感器组件208。传感器组件208是用于一个传感器或一组传感器的外壳或封装。传感器组件208内的传感器可包括主动式与被动式传感器、电荷耦合组件(CCD)摄像机、可见光传感器、暗视野与明视野显微镜、X光反射系统、UV-EUV光线反射系统、激光散射系统、或上述的组合。所示的传感器组件208为单一外壳,但可理解到监控系统200也可包括用于容纳多个传感器的多个组件。
例如,传感器组件208可包括第一传感器210或主要传感器及第二传感器212。第一传感器210与第二传感器212可做为用于传输UV或X光光束以监控的点源(source)、灯、光纤源、散射器、指向光纤、投射系统、或前述的组合。
传感器组件208的传感器系统可被固定以静止不动于整体远紫外光掩模生产系统100的沉积腔室内。已经发现在传感器组件208内传感器的固定布置会减少产生粒子与碎屑于腔室中的可动部件。
例如,传感器组件208可包括直接安置在受监控基板202上方的第一传感器210且可包括筒体、光纤、阵列、准直管、或前述的组合,其定位与基板顶表面204成正交或90度角以发射辐射。第一传感器210可被定位越过中央区218,其为基板顶表面204的中央点。
第二传感器212可安置邻近于第一传感器210。所示第二传感器212的点源设置成与基板顶表面204成45度角,然而第二传感器212也可定位为与基板顶表面204成正交的角度。例如,辐射可从点源发射而与基板顶表面204成45度角。
为了说明目的,第二传感器212可被调整朝向或对准在基板顶表面204的边缘区220,其为接近基板顶表面204的边缘或末端的点。然而,第二传感器212可被调整朝向中央区218以监控来自中央区218的镜反射。
传感器组件208也可包括第三传感器214及第四传感器216,其可为检测器。例如,检测器可接收来自点源(例如第一传感器210与第二传感器212)的发射或辐射。检测器传感器阵列(例如第三传感器214与第四传感器216)可包括CCD式光谱计、摄像机、及显微镜。第三传感器214及第四传感器216可测量自表面反射光束与辐射的波长的振荡。
已经发现传感器组件208可用于在制造期间实时地监控EUVL掩模内的部件的缺陷、误差、及规格的特征。传感器组件208内的传感器可用以分析表面、薄膜、与多层的特性。例如,显微镜、反射系统、及摄像机可安装在传感器组件208的中以监控基板顶表面204的凹痕、表面粗糙度、粒子、及其他缺陷。
再者,已经发现监控系统200可被一列式或原位实施于物理气相沉积(PVD)、原子层沉积(ALD)、及可流动式CVD(FCVD)系统或其的组合中。例如,监控系统200可被一列式或原位结合在沉积腔室内,例如第一物理气相沉积系统120。监控系统200在完整沉积处理期间可实时监控表面与层厚度。
监控器可包括电荷耦合组件(CCD)摄像机、可见光传感器、暗视野与明视野显微镜、X光反射系统、UV-可见光反射系统、激光散射系统、或其的组合。光谱计可用于分析传感器读数,例如散射异常与波长调变。在受控制或预测试样信号中的异常会指示出表面上的缺陷及规格层厚度阶层。
再者,已经发现传感器组件208可用于监控沉积在受监控基板202之上的层的厚度均匀度、界面粗糙度、及组成。在受监控基板202的扫描及可能的清洁后,Mo及Si层可被沉积在受监控基板202上,且各层可被单独地扫描出在层表面的顶部上的缺陷。在建构中的各层可被扫描以确保平坦度、厚度、及均匀度在规格之内。
已经发现监控系统200可监控与记录在受监控基板202上的各沉积层的特性。由传感器组件208得到的数据与信息可用于调整后续生产循环的沉积处理及模式。
传感器208可包括X光反射系统或X光反射计(XRR)。类似于紫外光-可见光光谱,X光光束也可在基板顶表面204上反射以测量反射光束的强度。如果顶表面204是在规格之外,反射强度将会偏离预测密度轮廓。X光反射及XRR可用于测定1至2埃的规格宽度。
取代在EUVL掩模空白或MRAM完成后的测定缺陷,各沉积层可被连续地扫描或在沉积各层之后被扫描。因此,已经发现通过消除花费在具有缺陷的完成掩模的浪费的时间及材料,制造EUVL掩模与MRAM部件的产率可被提升。例如,EUVL掩模可具有85至90层不同沉积层,其需要数小时的沉积时间。在耗时与昂贵沉积处理开始前,起始缺陷基板可被移除或清洁。通过监控受监控基板202上的各沉积层,如果发现缺陷,沉积处理可被中止,而可保存更多的时间及材料。
再者,已经发现连续或逐层监控的方法由使用绿光或495nm至570nm的波长提供各沉积层精准且精确的扫描。绿光可用于仅监控各沉积表面,其可确切地指出哪一层或宽度包括缺陷。此提供了相较于其他波长的优势在于更深的穿透,由于缺陷可发生在穿透深度的一般区的任何层中。
也已经发现连续或逐层监控可用于调节或调整沉积处理。监控可用于检测沉积机器的历史沉积趋势且用于调整各沉积层的沉积时间。
现在参照图3,显示有传感器组件208的示例硬件方块图。传感器组件208可包括多个检查工具,例如光反射系统302、X光系统304、激光系统306、及摄像机系统308。为了说明目的,检查工具与传感器组件208的传感器系统被说明为分离系统,然而理解到这些系统彼此可链接运作且利用相同的硬件。
光反射系统302为可使用紫外光或可见光于分析表面、薄膜、及多层的特征的系统。例如,当反射光离开基板或层的表面时,光反射系统302可用于检测振幅位移及相位移。光反射系统302可包括使用波长为10纳米(nm)至600nm,包括绿光与EUV光。
光反射系统302可包括光谱方法及例如紫外光-可见光光谱或紫外光-可见光分光亮度法的系统。例如,光反射系统302可包括操作于暗视野的具有EUV产生等离子体源的基于物镜的显微镜(objective base microscope)。
光反射系统302可使用图2的第一传感器210、图2的第二传感器212、图2的第三传感器214、图2的第四传感器216、或前述的组合做为点源与检测器部件。例如,第一传感器210可为紫外光-可见光光谱系统的点源且第三传感器214可为检测器。
X光系统304包括利用X光反射或X光反射计的传感器。X光光束被投射或反射由点源至表面,例如图2的基板顶表面204。反射X光的强度被测量分析。例如,如果表面不是鲜明及平滑的,那么反射强度将偏离由菲涅耳(Fresnel)反射定律所预测的。偏离量之后可被分析以获得正交于表面的界面的强度轮廓。
X光系统304使用被传感器组件208包装的传感器可投射及检测传感器读数。例如,X光系统304可使用第一传感器210、第二传感器212、第三传感器214、第四传感器216、或前述的组合做为点源或传感器部件。
激光系统306包括可利用激光反射干涉计及光谱以测定表面的厚度及光滑度的传感器。激光系统306在操作上可类似于光反射系统302及X光系统304。例如,激光系统306可包括405nm激光二极管做为点源以被反射到检测器之上。
摄像机系统308可包括被包括在传感器组件208中的未被其他系统利用的其他检查工具。摄像机系统308可包括CCD摄像机、CCD式显微镜、离子束/扫描式电子显微镜(FIB/SEM)、明视野或暗视野设置、穿透式电子显微镜(TEM)、原子力显微镜(AFM)、或前述的组合。
光反射系统302、X光系统304、激光系统306、及摄像机系统308可被并合在相同腔室或不同腔室中。例如,光反射系统302可被并合在沉积腔室中,例如图1的第一物理气相沉积系统120。X光系统304可被并合在检查腔室111内。已经发现图1的基板104可被移出沉积腔室及传送入图1的检查腔室,以X光系统304监控各沉积层。
现在参照图4,显示有根据本发明的第二实施方式的用于沉积及度量的监控系统400的例视图。此例视图可包括来自应用或沉积处理的材料层402。
材料层402可包括沉积在受监控基板202上及平台206上方的薄膜或材料。例如,材料层402可包括平坦化层、钼(Mo)、硅(Si)、或用于制造EUVL掩模的其他材料。材料层402的第一层可直接沉积于基板顶表面204上。
材料层402可包括层顶表面404及沉积厚度406。层顶表面404是沉积在受监控基板202上的材料层402的最上方试样的顶表面。例如,层顶表面404是沉积在受监控基板202上的第一层的顶表面。
为了说明目的,如果受监控基板202上的第九十层是最上层,可理解到层顶表面404会是第九十层当前的顶表面。沉积厚度406是材料层402的宽度或厚度。例如,受监控基板202上的硅层的沉积厚度406会是3-4nm厚。
监控系统400可包括定向于与层顶表面404及基板顶表面204成45度角的传感器组件408。例如,传感器组件408可包括位在腔室左侧的点源410及位在腔室右侧的检测器。此例示图显示辐射呈45度角由层顶表面404反射且被检测器412接收。
现在参照图5,显示有在中间沉积相的图2中的结构。此例视图可显示沉积在受监控基板202上的多层堆叠。此例视图可包括受监控基板202、材料层402、层顶表面404、内部硅层502、与内部钼层504、及第二材料层507。
第二材料层507沉积在材料层402的顶部上。因为第二材料层507是最上层沉积层,层顶表面404是第二材料层507的顶表面。此例视图可包括包装在单一组件内的点源506与检测器508,例如图2的传感器组件208所示。点源506与检测器508可定向为反射与接收与层顶表面404成45度角的辐射。
现在参照图6,显示有图2所示的受监控基板202的顶视图。受监控基板202可被图2的平台206所支撑。为了说明目的,受监控基板202被显示为方形,然而可理解到受监控基板202可由圆形晶片所生产。
此顶视图可包括图2所示的边缘区220。图2的传感器组件208可接收来自边缘区220的光谱特性与密度轮廓信息。受监控基板202在沉积处理期间可被安置在旋转静电夹盘上。
此顶视图可包括基于来自旋转静电夹盘上的边缘区220的传感器读数的外周围602。由于旋转的关系,外周围602可提供受监控基板202或图4的材料层402的周围的光谱特性与密度轮廓信息。
现在参照图7,显示有本发明的实施方式中的图2的监控系统200操作的方法700。方法700可包括在方块702提供图2的受监控基板202。受监控基板202可放置在图2的平台206上,平台206可包括旋转静电夹盘。
在方块704,受监控基板202可被图2的传感器组件208扫描。此扫描可包括任何主动式、被动式、或图3所述的反射系统,以检测缺陷与粒子、例如在图2之基板顶表面204上的圆槽、沟、刮痕、捆束、变形、结石、卵石、及凹痕。如果检测到任何缺陷,受监控基板202在方块706可被清洁或替换。此处理可回到方块702以重新使用无缺陷基板。
在扫描无缺陷基板后,在方块708或沉积处理时,材料层402可形成或施加在受监控基板202上方。材料层402的沉积可包括CVD、PLD、ALD、及FCVD方法,以将材料层402沉积在受监控基板202上方。
在方块710中的沉积处理期间,材料层402可被监控。在方块710时的监控可包括连续扫描或沉积层完成后的扫描。材料层402的监控可在整个沉积处理期间为连续的。材料层402的连续扫描或逐层扫描可产生各层的光谱特性715与密度轮廓。已经发现各材料层402的光谱特性715与密度轮廓可被记录以分析及调整沉积机器。
或者,材料层402可在各层完成后被扫描与监控。例如,材料层402可在硅层、钼层、或Mo/Si对完成后被扫描。在另一材料层402沉积之后,图4的层顶表面404可被扫描,且依照沉积在受监控基板202上的层的数目持续地扫描。
在沉积时监控材料层402期间,在方块712可检测误差711。误差711定义为材料层402中的缺陷。误差711可为在厚度均匀度、表面粗糙度、界面粗糙度、组成的特定情况下的结果与在单一层沉积处理期间发现的任何粒子。
基于材料层402的误差711的警报713可产生在方块714。警报713可包括具有材料层402的密度轮廓与光谱特性信息的报告,其由误差711所产生。
缺陷基板或掩模空白试样可在方块716被废弃。已经发现缺陷的沉积基板或掩模空白试样可在单一层的沉积误差的时候被废弃,而非在整个掩模完成之后。通过降低浪费在缺陷试样的时间与材料,监控系统200提供的逐层监控增加无缺陷EUVL掩模空白的产率。
如果监控处理在沉积期间没有检测到任何缺陷或误差,沉积处理可在方块718结束。沉积处理完成后的试样可被传送至另一腔室以进行方块720中的进一步沉积或制造步骤。EUVL掩模试样也可被传送至图1的检查腔室111以进行方块722中之后完全扫描。
现在参照图8,显示有本发明的进一步实施方式的图2的监控系统200操作的方法800。方法800可包括在方块802提供图2的受监控基板202。受监控基板202可放置在图2的平台206上,其包括旋转静电夹盘。
在方块804,受监控基板202可以图2的传感器组件208扫描。此扫描可包括任何图3所述的反射系统,以检测缺陷与粒子、例如在图2的基板顶表面204上之圆槽、沟、刮痕、捆束、变形、结石、卵石、及凹痕。如果检测到任何缺陷,受监控基板在方块806可被清洁或替换。此处理可回到方块802以重新使用无缺陷基板。
在扫描无缺陷基板后,在方块808或沉积处理时,材料层402可形成或施加在受监控基板202上方。材料层的沉积可包括CVD、PLD、ALD、及FCVD方法,以将材料层402沉积在受监控基板202上方。
在材料层402的沉积或一对层(例如Si与Mo)的沉积后,基板试样在方块810可被传送至检查腔室。材料层402或Si/Mo层对在方块812可被扫描缺陷与误差。
在沉积时监控材料层402期间,在方块814可检测误差811。误差811定义为材料层402中的缺陷。误差811可为在厚度均匀度、表面粗糙度、界面粗糙度、组成的特定情况下的结果与在单一层沉积处理期间发现的任何粒子。
在误差811的检测之后,在方块816可产生基于误差811的警报813。警报813可包括具有材料层402的密度轮廓与光谱特性信息的报告,其由误差811所产生。缺陷基板或掩模空白试样可在方块818被废弃。
材料层402可通过扫描,其指示出厚度均匀度、表面粗糙度、界面粗糙度、组成与任何粒子是在规格内且在方块820的预定的容忍等级内。如果通过规格参数,受监控基板202或EUVL掩模试样可回到沉积腔室以在方块822连续沉积多层的其他层。
现在参照图9,显示有EUV光刻系统900。EUV光刻系统900包括EUV光源区902、掩模平台(reticle stage)904与晶片平台906。由图1的整体远紫外光掩模生产系统100与监控系统200所制造的EUVL掩模可使用在EUV光刻系统900。
此公开的方法、处理、设备、装置、产品、及/或系统是直接的、有成本效益的、不复杂的、高度通用的、准确的、敏感的、及有效的,且可通过适于已知部件被实施,以用于就绪、有效率且经济的制造、应用及利用。
本发明的另一个重要的态样是其有价值地支持与服务降低成本、简化系统、与提高效能的历史潮流。
因此,本发明的这些与其他有价值的态样将此技术的状态至少提升到下一个等级。
当此发明与特定最佳模式一同被说明时,应理解到由于上述的说明,许多的替换、改良、及变化对于本领域技术人员是显而易见的。因此,此发明意于包含落在权利要求书范围下的所有替换、改良、及变化。在此说明或显示于随附附图中的所有对象是示意的说明且非限制性的。

Claims (8)

1.一种监控和制造远紫外光光刻掩模空白的方法,所述方法包含以下步骤:
在执行沉积处理的系统中放置基板于平台上,以在所述基板上形成多个材料层的叠层,以形成所述远紫外光光刻掩模空白;
执行所述基板的缺陷的扫描;
沉积多个材料层于所述基板上,并且监控每个材料层的缺陷,其中所述监控每个材料层的缺陷包括以下步骤:在沉积所述多个材料层期间,执行连续扫描,以检测粒子并且检测在用于EUV光刻的波长处造成相缺陷的刮痕和凹痕;以及
如果在所述材料层中检测到缺陷则产生警报,中止所述方法,并废弃所述基板。
2.如权利要求1所述的方法,其中监控所述材料层的步骤包括:以波长为495nm至570nm扫描。
3.如权利要求1所述的方法,其中监控所述材料层的步骤包括:监控所述材料层的光谱特性。
4.一种监控和制造远紫外光光刻 掩模空白的方法,所述方法包含以下步骤:
在执行沉积处理的系统中放置基板于平台上,以在所述基板上形成多个材料层,以形成所述远紫外光光刻掩模空白,所述平台包括旋转静电夹盘;
执行所述基板的缺陷的扫描,所述缺陷包括在用于EUV光刻的波长处造成相缺陷的刮痕和凹痕以及粒子;
沉积多个材料层于所述基板上;以及
在沉积所述多个材料层期间通过执行连续扫描以监控每个材料层的缺陷,以检测粒子并且检测在用于EUV光刻的波长处造成相缺陷的刮痕和凹痕,产生警报,中止所述方法,并废弃所述基板。
5.如权利要求4所述的方法,其中执行所述基板的扫描的步骤包括:以光反射系统、X光系统、激光系统、摄像机系统、或前述的组合执行所述扫描。
6.如权利要求4所述的方法,其中监控所述材料层的缺陷的步骤包括:传递所述基板至检查腔室。
7.如权利要求4所述的方法,其中监控所述材料层的缺陷的步骤包括:扫描中央区和边缘区。
8.如权利要求4所述的方法,其中监控所述材料层的缺陷的步骤包括:以光反射系统扫描。
CN201480073548.7A 2013-12-22 2014-12-19 用于沉积之监控系统及其操作方法 Active CN105917453B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361919776P 2013-12-22 2013-12-22
US61/919,776 2013-12-22
PCT/US2014/071684 WO2015095799A1 (en) 2013-12-22 2014-12-19 Monitoring system for deposition and method of operation thereof

Publications (2)

Publication Number Publication Date
CN105917453A CN105917453A (zh) 2016-08-31
CN105917453B true CN105917453B (zh) 2021-10-15

Family

ID=53403780

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480073548.7A Active CN105917453B (zh) 2013-12-22 2014-12-19 用于沉积之监控系统及其操作方法

Country Status (7)

Country Link
US (1) US20160341544A1 (zh)
JP (2) JP6653255B2 (zh)
KR (1) KR102291659B1 (zh)
CN (1) CN105917453B (zh)
SG (2) SG10201805222PA (zh)
TW (1) TWI647530B (zh)
WO (1) WO2015095799A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10111103B2 (en) * 2016-03-02 2018-10-23 EyeVerify Inc. Spoof detection using proximity sensors
US10818564B2 (en) * 2016-03-11 2020-10-27 Applied Materials, Inc. Wafer processing tool having a micro sensor
JP6606448B2 (ja) * 2016-03-17 2019-11-13 株式会社Screenホールディングス 塗膜検査装置、塗膜検査方法および膜・触媒層接合体の製造装置
JP6869648B2 (ja) * 2016-06-07 2021-05-12 日東電工株式会社 多層膜の成膜方法
TWI737804B (zh) * 2017-09-04 2021-09-01 李亞玲 Cvd沉膜偏移製程異常之量測與監控方法
JP7009230B2 (ja) * 2018-01-23 2022-01-25 株式会社日立ビルシステム 非破壊検査装置及び非破壊検査方法
US10815561B2 (en) * 2018-03-10 2020-10-27 Applied Materials, Inc. Method and apparatus for asymmetric selective physical vapor deposition
KR102245198B1 (ko) * 2019-11-28 2021-04-27 대양전기공업 주식회사 레이저 스캐터링을 적용한 센서 제조방법 및 센서
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
WO2023121494A1 (en) * 2021-12-22 2023-06-29 Qatar Foundation For Education, Science And Community Development Automated multi-layer two-dimensional printing
DE102022205971A1 (de) * 2022-06-13 2023-12-14 Carl Zeiss Smt Gmbh Verfahren zum Beschichten eines Spiegelsubstrats mit einer für Nutz- Wellenlängen hochreflektierenden Mehrlagen-Beschichtung sowie Beschichtungsanlage zur Durchführung eines derartigen Verfahrens

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03281780A (ja) * 1990-03-30 1991-12-12 Hitachi Ltd Cvd装置
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US6051113A (en) 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6706541B1 (en) 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
US6563578B2 (en) * 2001-04-02 2003-05-13 Advanced Micro Devices, Inc. In-situ thickness measurement for use in semiconductor processing
US6781687B2 (en) * 2002-09-26 2004-08-24 Orbotech Ltd. Illumination and image acquisition system
JP3683261B2 (ja) * 2003-03-03 2005-08-17 Hoya株式会社 擬似欠陥を有する反射型マスクブランクス及びその製造方法、擬似欠陥を有する反射型マスク及びその製造方法、並びに擬似欠陥を有する反射型マスクブランクス又は反射型マスクの製造用基板
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7179568B2 (en) * 2003-07-10 2007-02-20 Wisconsin Alumni Research Foundation Defect inspection of extreme ultraviolet lithography masks and the like
US7271921B2 (en) * 2003-07-23 2007-09-18 Kla-Tencor Technologies Corporation Method and apparatus for determining surface layer thickness using continuous multi-wavelength surface scanning
JP3811150B2 (ja) * 2003-09-05 2006-08-16 株式会社東芝 膜厚測定方法、膜厚測定システム、半導体装置の製造方法及び膜厚測定システム制御プログラム
JP2005281859A (ja) * 2004-03-03 2005-10-13 Sanyo Electric Co Ltd 堆積厚測定方法、材料層の形成方法、堆積厚測定装置および材料層の形成装置
JP2005281858A (ja) * 2004-03-03 2005-10-13 Sanyo Electric Co Ltd 堆積厚測定方法、材料層の形成方法、堆積厚測定装置および材料層の形成装置
CN100487948C (zh) * 2004-03-03 2009-05-13 三洋电机株式会社 测定沉积膜厚度的方法及装置和形成材料层的方法及装置
US8472020B2 (en) * 2005-02-15 2013-06-25 Cinram Group, Inc. Process for enhancing dye polymer recording yields by pre-scanning coated substrate for defects
JP4979941B2 (ja) * 2005-03-30 2012-07-18 Hoya株式会社 マスクブランクス用ガラス基板の製造方法、マスクブランクスの製造方法
US7566900B2 (en) 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
JP4990548B2 (ja) * 2006-04-07 2012-08-01 株式会社日立製作所 半導体装置の製造方法
US20070281075A1 (en) * 2006-05-31 2007-12-06 Cheng-Chia Huang Optical method to monitor nano thin-film surface structure and thickness thereof
US8268385B2 (en) * 2007-05-25 2012-09-18 Southwell William H Optical monitor with computed compensation
CN101398393B (zh) * 2007-09-28 2011-02-02 上海华虹Nec电子有限公司 硅片制品缺陷分析方法及装置
US8225683B2 (en) * 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
US20110308453A1 (en) 2008-01-31 2011-12-22 Applied Materials, Inc. Closed loop mocvd deposition control
JP4719284B2 (ja) * 2008-10-10 2011-07-06 トヨタ自動車株式会社 表面検査装置
KR20100069392A (ko) * 2008-12-16 2010-06-24 삼성전자주식회사 증착, 식각 혹은 클리닝 공정에서 증착, 식각 혹은 클리닝 종료 시점을 결정하기 위하여 수정 결정 미소저울을 이용하는 반도체 소자의 제조장치 및 이를 이용한 제조방법
US20110171758A1 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. Reclamation of scrap materials for led manufacturing
KR101179269B1 (ko) * 2010-11-30 2012-09-03 에스케이하이닉스 주식회사 극자외선용 블랭크 마스크 및 그 형성방법
TWM485447U (zh) * 2014-05-30 2014-09-01 G E Products Co Ltd 具可換式筆頭之觸控筆

Also Published As

Publication number Publication date
TWI647530B (zh) 2019-01-11
JP2017510827A (ja) 2017-04-13
KR20160102511A (ko) 2016-08-30
US20160341544A1 (en) 2016-11-24
WO2015095799A1 (en) 2015-06-25
JP7116753B2 (ja) 2022-08-10
SG10201805222PA (en) 2018-08-30
JP6653255B2 (ja) 2020-02-26
JP2020095274A (ja) 2020-06-18
TW201527870A (zh) 2015-07-16
SG11201604721VA (en) 2016-07-28
KR102291659B1 (ko) 2021-08-18
CN105917453A (zh) 2016-08-31

Similar Documents

Publication Publication Date Title
CN105917453B (zh) 用于沉积之监控系统及其操作方法
CN107210188B (zh) 用于沉积的监控系统与操作该系统的方法
TWI609250B (zh) 度量衡方法、度量衡裝置及元件製造方法
TWI603422B (zh) 使用基板幾何以判定基板分析取樣之方法及裝置
JP6412163B2 (ja) メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
TWI435182B (zh) 角度分辨散射計及檢查方法
TWI550355B (zh) 評估euv光罩平坦度的方法和系統
TWI424281B (zh) 微影裝置及元件製造方法
TW201009514A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2014187656A1 (en) Inspection method and apparatus, substrates for use therein and device manufacturing method
KR20170051506A (ko) 극자외선 파장 범위용 마스크를 제조하는 방법, 마스크 및 장치
JP5443405B2 (ja) リソグラフィ装置及びデバイス製造方法
KR20220163446A (ko) 필터링이 개선된 소프트 x-선 광학계
TW201944098A (zh) 抗反射光學基板及其製造方法
JP5162669B2 (ja) リソグラフィ装置及び方法
CN114895524B (zh) Euv光掩模体的缺陷检测方法及系统
CN114879447A (zh) Euv光掩模体的缺陷检测方法及系统
TWI798758B (zh) 製程偏差判定方法、校準方法、檢測工具、製造系統及樣品

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant