KR101332739B1 - 박막 성장용 반응 시스템 - Google Patents

박막 성장용 반응 시스템 Download PDF

Info

Publication number
KR101332739B1
KR101332739B1 KR1020077018502A KR20077018502A KR101332739B1 KR 101332739 B1 KR101332739 B1 KR 101332739B1 KR 1020077018502 A KR1020077018502 A KR 1020077018502A KR 20077018502 A KR20077018502 A KR 20077018502A KR 101332739 B1 KR101332739 B1 KR 101332739B1
Authority
KR
South Korea
Prior art keywords
gas
deposition chamber
delete delete
susceptor
reactant
Prior art date
Application number
KR1020077018502A
Other languages
English (en)
Other versions
KR20070100354A (ko
Inventor
모히드 버개스
에릭 쉬로
다르코 바빅
헤르베르트 테르호르스트
마르코 페우싸
민 얀
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20070100354A publication Critical patent/KR20070100354A/ko
Application granted granted Critical
Publication of KR101332739B1 publication Critical patent/KR101332739B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

원자층증착(ALD) 박막증착장치는, 그 내부에 형성된 공간부 내에 배치된 웨이퍼 상에 박막을 증착하도록 구성된 증착 챔버를 포함한다. 상기 증착 챔버는 상기 공간부와 연결된 가스 입구를 포함한다. 가스 시스템은 상기 증착 챔버의 가스 입구로 가스를 이송하도록 구성된다. 상기 가스 시스템의 적어도 일부분은 상기 증착 챔버 위에 위치한다. 상기 가스 시스템은 복수의 가스 흐름들을 혼합하도록 구성된 혼합기를 포함한다. 이송 부재는 상기 혼합기 및 상기 가스 입구와 유체 연통된다. 상기 이송 부재는, 상기 가스가 상기 가스 입구로 들어오기 전에 상기 가스를 수평 방향으로 확산시키도록 구성된, 수평 방향으로 분기하는 한 쌍의 벽을 포함한다.

Description

박막 성장용 반응 시스템{Reaction system for growing a thin film}
본 출원은 2005년 1월 18일에 출원된 미국 임시출원 제60/645,581호 및 2005년 2월 24일에 출원된 미국 임시출원 제60/656,832호에 대하여 미국특허법 §119(e)에 의한 우선권 이익을 주장하며, 상기 문헌의 개시는 본문 전체로서 본원에 참조문헌으로 포함된다.
본 발명은 화학 처리를 위한 장치에 관한 것이다. 특히 본 발명은 반응 챔버에서 박막을 성장시키기 위한 장치에 관한 것이다.
기판의 표면상에 박막을 증착하기 위한 여러 기상 증착 방법들이 있다. 이러한 방법들은 진공증발 증착(vacuum evaporation deposition), 분자빔 에피택시(Molecular Beam Epitaxy, MBE), 화학기상 증착(CVD)의 다른 변형들(저압, 유기금속 CVD 및 플라즈마-강화 CVD를 포함) 및 최근 원자층 증착(Atomic Layer Deposition, ALD)으로 언급되는 원자층 에피택시(Atomic Layer Epitaxy, ALE)를 포함한다.
ALD는 실리콘 웨이퍼와 같은 기판상에 재료의 박막을 형성하기 위한 것으로서 반도체 산업에서 잘 알려진 공정이다. ALD는 사이클로 수행되는 자기포화적(self-saturating) 반응을 통해서 막이 형성되는, 기상 증착의 한 종류이다. 상 기 막의 두께는 수행되는 사이클의 횟수에 의해 결정된다. ALD 공정에서, 기판 즉 웨이퍼 상에 재료의 박막을 형성하기 위하여, 기상의 전구체들이 웨이퍼에 교호적이며 반복적으로 공급된다. 어느 한 반응물이 자기제한적인(self-limiting) 공정을 통해 웨이퍼 상에 흡착된다. 뒤이은 반응물의 펄스가 흡착된 재료와 반응하여 원하는 재료의 단일 분자층을 형성한다. 분해 과정은 리간드 교환 또는 잔류가스 제거 반응(gettering reaction)과 같이, 적절하게 선택된 반응물과의 반응을 통해 일어날 수 있다. 전형적인 ALD 반응에서는, 사이클당 단지 하나의 분자 단일층이 형성된다. 목표하는 두께가 달성될 때까지, 반복되는 성장 사이클을 통해 더 두꺼운 막이 형성된다.
ALD 공정에서, 원하는 제품을 제조하기 위하여, 적어도 하나의 코팅될 표면을 가진 하나 이상의 기판과, 하나 이상의 반응물이 반응기 또는 증착 챔버 내로 도입된다. 일반적으로, 하나 이상의 기판은 웨이퍼 지지부 즉 서셉터 상에 배치된다. 웨이퍼 지지부는 반응기 내부로 한정된 챔버 내에 위치한다. 웨이퍼는, 반응물 가스들의 응결 온도보다 높고 반응물 가스들의 열적 분해 온도보다는 낮은, 원하는 원도까지 가열된다.
ALD의 고유한 특징은, 포화된 표면 상태에 도달되기까지, 각각의 반응물이 펄스 형태로 기판에 전달된다는 점이다. 상기에서 언급한 바와 같이, 전형적으로 하나의 반응물이 기판의 표면상에 흡착되고 제2반응물이 이어서 상기 흡착된 종과 반응한다. 성장 속도가 자기제한적이기 때문에, 성장 속도는 CVD에서와 같이 반응물의 온도 또는 유량(flux)보다는 오히려 반응 시퀀스(sequence)의 반복 속도에 비 례한다.
자기제한적인 성장을 확보하기 위해서, 기상 반응물들은, 연속하는 반응물의 펄스들 사이의 퍼지 단계 또는 다른 제거 단계들에 의해 격리된 채 유지된다. 퍼지 단계 동안에는 원하는 재료의 성장이 일어나지 않으므로, 퍼지 단계의 지속 시간을 제한하는 것이 유리할 수 있다. 더 짧은 퍼지 단계의 지속 시간은, 반응기 내에서 반응물들의 흡착 및 반응을 위하여 사용할 수 있는 시간을 증가시킬 수 있다. 그러나, 반응물들은 흔히 상호 반응하기 때문에, 증착의 자기제한적인 본질을 훼손하는 CVD 반응의 위험성을 감소시키기 위하여, 기상 반응물들의 혼합은 피해야 한다. 반응 챔버의 상류 또는 하류와 바로 접하는 공유 라인에서의 혼합일지라도 의존적인 CVD 및 그 후의 미립자 생성을 통하여 공정을 오염시킬 수 있다.
기상 반응물들이 혼합하는 것을 방지하기 위하여, ALD 반응기들은, 퍼지 단계 동안 반응물 소스로부터 반응 챔버로 반응물이 흘러가는 것을 방지하기 위하여, 공급 도관의 일부분에 "불활성 가스 밸브 조절(inert gas valving)" 또는 "확산 장벽(diffusion barrier)" 장치를 포함할 수 있다. 상기 불활성 가스 밸브 조절은, 공급 도관 내에서 정상적인 반응물의 흐름과 반대 방향으로 흐르는 가스에 대하여 기상의 대류 장벽을 형성하는 것을 포함한다(참조 T.Suntola, 결정 성장 핸드북 Ⅲ, 박막과 에피택시, Part B: 결정 메커니즘과 동력학, 제14장, 원자층 에피택시, 편집바 D.T.J. Hurle, Elsevier Science V.B.(1994), pp.601-663, 상기 문헌의 개시는 본문 전체로서 본원에 참조문헌으로 포함된다. 특히, pp.624-626을 참조하라). 비록 이러한 종래 기술 장치가 기상의 반응물들의 혼합을 방지하는데는 성공적이었지만, 여전히 개선될 여지가 있다. 특히, 실험적인 연구는 반응 챔버 내부에는 퍼지하기 힘든 데드 포켓(dead pocket)들 및/또는 재순환 셀들이 있다는 점을 지적한다. 따라서, 이전의 반응물 펄스의 일부분이, 이후의 반응물 펄스 동안 반응 챔버에 남아있을 수 있다. 이러한 것은, 불리하게도, 반응 챔버의 내부 및 기판 상 자체에서의 CVD 성장을 유도한다. 반응 챔버 내의 CVD 성장은, 불리하게도, 파티클의 배출을 증가시키게 된다.
그러므로, 퍼지하는데 더 용이한 개선된 반응기 디자인을 위한 요구가 존재하고, 그러한 요구는 퍼지 단계 이후 반응물이 남아있을 수 있는 데드 포켓을 제거하거나 상당히 감소시킨다.
따라서, 본 발명의 일 실시양태는, 그 내부에 형성된 공간부 내에 배치된 웨이퍼 상에 박막을 증착하도록 구성된 증착 챔버를 포함하는 원자층 증착(ALD) 박막증착장치를 포함한다. 상기 증착 챔버는 상기 공간부와 연결된 가스 입구를 포함한다. 가스 시스템은 상기 증착 챔버의 가스 입구로 가스를 이송하도록 구성된다. 상기 가스 시스템의 적어도 일부분은 상기 증착 챔버 위에 위치한다. 상기 가스 시스템은 복수의 가스 흐름들을 혼합하도록 구성된 혼합기를 포함한다. 이송 부재는 상기 혼합기 및 상기 가스 입구와 유체 연통된다. 상기 이송 부재는, 상기 가스가 상기 가스 입구로 들어오기 전에 상기 가스를 수평 방향으로 확산시키도록 구성된, 수평 방향으로 분기하는 한 쌍의 벽을 포함한다.
본 발명의 다른 실시양태는, 그 내부에 형성된 공간부 내에 배치된 웨이퍼 상에 박막을 증착하도록 구성된 증착 챔버를 포함하는 원자층 증착(ALD) 박막증착장치를 포함한다. 상기 증착 챔버는 상기 공간부와 연결된 가스 입구를 포함한다. 상기 증착 챔버는 밀봉면을 포함하는 밀봉부를 더 구비한다. 서셉터는 상기 공간부 내의 웨이퍼를 지지하도록 구성된다. 상기 서셉터는, 상기 서셉터가 상기 밀봉면에 대해 밀봉하는 제1위치와, 상기 서셉터가 상기 밀봉면에 대해 더이상 밀봉하지 않으며 상기 제1위치의 하측에 위치하는 제2위치 사이에서 상기 증착 챔버에 대해 수직으로 이동하도록 구성된다. 상기 제1위치에서, 상기 밀봉면과 상기 서셉터의 경계부 및 상기 서셉터 상에 배치된 웨이퍼 사이의 수직 거리는 약 2 ㎜ 미만이다.
본 발명의 다른 실시양태는, 반도체 기판들을 처리하기 위한 기판 지지부를 포함한다. 상기 기판 지지부는 함몰부를 가지는 상면을 포함한다. 상기 함몰부는, 상기 기판 지지부의 상면이 오직 상기 기판의 가장자리부를 따라 상기 기판과 접촉하도록 구성된다.
본 발명의 다른 실시양태는, 그 내부에 형성된 공간부 내에 배치된 웨이퍼 상에 박막을 증착하도록 구성된 증착 챔버를 포함하는 원자층 증착(ALD) 박막증착장치를 포함한다. 상기 증착 챔버는 상기 공간부와 연결된 가스 입구를 포함한다. 상기 증착 챔버는 밀봉면을 포함하는 밀봉부를 더 구비한다. 서셉터는 상기 공간부 내의 웨이퍼를 지지하도록 구성된다. 상기 서셉터는, 상기 서셉터가 상기 밀봉면에 대해 밀봉하는 제1위치와, 상기 서셉터가 상기 밀봉면에 대해 더이상 밀봉하지 않으며 상기 제1위치의 하측에 위치하는 제2위치 사이에서 상기 증착 챔버에 대해 수직으로 이동하도록 구성된다. 상기 서셉터는, 상기 웨이퍼가 상기 서셉터 상의 상기 제1위치에 위치할 때, 가스 흐름에 대한 상기 웨이퍼의 선단부가 상기 웨이퍼의 후단부와 비교하여 상기 밀봉면으로부터 더 멀리 위치하도록 구성된다.
하기의 상세한 설명으로부터 명백해지는, 공지의 공정들 및 장치들을 능가하는 모든 목적들과 장점들은, 이후 기술되고 청구되는 본 발명에 의해 달성될 것이다.
도 1a는 원자층 증착(ALD) 장치의 정면, 상면 및 좌측면 사시도.
도 1b는 도 1a의 ALD 장치의 바닥면, 배면 및 좌측면 사시도.
도 2는 2-2선을 따라 절취한 도 1의 ALD 장치의 절취 사시도.
도 3은 도 1a의 ALD 장치 내의 가스 분배 시스템의 사시도(도 2에 부분적으로 보임).
도 4는 각 반응물 가스 라인의 버퍼 영역을 나타내며, 도 3의 가스 분배 시스템의 혼합기 어셈블리의 상류 부재에 결합된 반응물 가스 라인들의 평면도.
도 5는 도 1a의 ALD 장치의 가스 분배 시스템 및 반응 챔버의 일부에 대한 개략적인 단면도.
도 6은 ALD 장치 내의 반응 챔버의 상부 플레이트에 결합된 가스 분배 시스템의 변형된 실시양태의 일부에 대한 사시도.
도 7은 도 6의 가스 분배 시스템의 평면도.
도 8은 가스 분배 시스템이 제거된 상태에서 도 6의 상부 플레이트의 평면 도.
도 9는 도 7의 9-9선의 단면도.
도 9a는 도 9의 일부분의 확대도.
도 10은 도 1의 ALD 시스템 내의 서셉터, 기판 및 반응기의 바닥 플레이트의 개략적인 도면.
도 11은 도 9와 유사한 단면도로서, ALD 장치의 서셉터와 바닥 플레이트를 도시한 단면도.
도 12는 도 11의 서셉터와 바닥 플레이트의 부분 상면 사시도.
도 13은 180도 회전된 도 11의 서셉터의 상면 사시도.
도 14는 도 13의 14-14선의 단면도로서, 서셉터 상에 배치된 기판을 도시한 단면도.
도 15는 승강핀과 서셉터의 구조의 일 실시양태의 가장자리부의 개략적인 단면도.
도 1a는 ALD 장치(100)의 일 실시양태의 사시도이다. ALD 장치(100)는, ALD 장치(100)를 위한 하우징의 일부분을 함께 형성하는, 상부 부재(110), 바닥 부재(112) 및 정면 부재(118)를 포함한다. 도 1a에 도시된 일 실시양태에서, 상부 히터(114)는 상부 부재(110)를 관통하여 연장된다. 상부 히터(114)는 ALD 장치(100)의 상부 부분의 온도를 유지하도록 구성된다. 마찬가지로, 하부 히터(116)는 바닥 부재(112)를 관통하여 연장된다. 하부 히터는 ALD 장치(100)의 하부 부분 의 온도를 유지하도록 구성된다.
ALD 장치(100)의 게이트 밸브의 역할을 하는 정면 부재(118)는 개구부(120)를 덮는다. 도 1a에서 점선은 상기 개구부(120)의 윤곽을 나타낸다. 정면 부재(118)가 제거되면, 개구부(120)는 ALD 장치(100)에 의해 처리되는 웨이퍼를 수취할 수 있다. 이와 같이, 수취된 웨이퍼는 ALD 장치(100) 내부의 증착 챔버에 배치된다. 처리가 완료되면, 웨이퍼는 동일한 개구부(120)를 통하여 증착 챔버로부터 제거될 수 있다.
ALD 제어 시스템(미도시)은 웨이퍼를 처리하는 동안 ALD 장치(100)를 제어하도록 구성된다. 예를 들면, ALD 제어 시스템은, 반응물 및 버퍼 가스들이 ALD 장치(100)로 유입 및 ALD 장치(100)로부터 유출되는 것을 제어하기 위하여, 컴퓨터 제어 시스템 및 전기적으로 제어되는 밸브들을 포함한다. ALD 제어 시스템은 일정 임무를 수행하는 재설정가능반도체(FPGA)나 주문형반도체(ASIC)와 같이 소프트웨어 또는 하드웨어 구성품과 같은 모듈들을 포함한다. 유리하게도, 모듈은 컴퓨터 제어 시스템의 주소화 저장 매체(addressable storage medium)에 존재하도록 구성되고, 하나 이상의 프로세서상에서 샐행되도록 구성될 수 있다.
도 1b는 ALD 장치(100)의 사시도로서, 바닥 부재(112)를 나타낸다. ALD 장치(100)는 한 세트의 커플링(102(a),102(b),104(a)-(d))들을 포함한다. 이러한 예시적인 구성에서, ALD 장치(100)는 4개의 개별적인 반응물 기상 소스들을 포함한다. 이 반응물 기상 소스들 중 2개는 커플링(102(a),102(b))을 통하여 ALD 장치(100)에 연결된다. 이러한 가스 소스들은 가압될 수도 있고, 그렇지 않을 수도 있다. 예를 들어, 이러한 기상 소스들은 고체 승화 용기, 액체 버블러(bubbler) 또는 가스 용기(gas bomb)가 될 수 있다. 제3반응물 기상 소스 및 제4반응물 기상 소스는 커플링(104(b),104(c))를 통해 ALD 장치(100)에 연결된다.
일 실시양태에서, 각 반응물 기상 소스는, 연관된 불활성 가스 소스를 가지는데, 불활성 가스 소스는 반응물 펄스 이후 반응물 기상 라인들을 퍼지하는데 이용될 수 있다. 예를 들면, 커플링(102(a),102(b))들에 연결된 반응물 기상 소스들과 연관되는 불활성 가스 소스들은, 각각 커플링(104(a),104(d))들에 연결된다. 커플링(104(b),104(c))들에 연결된 반응물 기상 소스들과 연관되는 불활성 가스 소스들은, 또한 각각 커플링(104(b),104(c))들에 연결된다. 이러한 불활성 가스 소스들은 가압될 수도 있고, 그렇지 않을 수도 있다. 예를 들어, 이러한 불활성 가스 소스들은 비활성 즉 질소 가스 소스들이 될 수 있다. ALD 제어 시스템(미도시)는 다양한 가스들이 ALD 장치(100)에 도달하는 것을 선택적으로 허용하거나 막기 위한 하나 이상의 밸브를 제어한다.
ALD 장치(100)는 웨이퍼가 증착 챔버 내로 삽입될 때 웨이퍼 상에 박막을 증착하도록 구성될 수 있다. 일반적으로, ALD 장치(100)는, 커플링(102(a),102(b))들 중 어느 하나를 통해, 또는 커플링(104(b),104(c))들 중 어느 하나를 통해, 제1반응물 가스를 수취할 수 있다. 또한 ALD 장치(100)는, 커플링(104(a)-104(d))들을 통해, 불활성 가스를 수취할 수 있다. 일 실시양태에서, 불활성 가스는 제1반응물 가스와 함께 증착 챔버로 들어가, 웨이퍼 상에서 제1반응물의 단일층을 흡착시킨다. 적절한 밸브들(미도시)을 스위칭함으로써, 제1반응물 가스의 흐름은 바람 직하게는 불활성 가스 밸브 조절(inert gas valving, IGV) 구조를 통해 멈추게 되고, 이후 증착 챔버와 가스 라인들은 커플링(104(a),104(b),104(c),104(d))들로부터 나오는 불활성 가스를 가지고 퍼지된다. 증착 챔버와 가스 라인들이 퍼지된 후, 증착 사이클은 하나 이상의 다른 반응물 가스들을 가지고 계속된다. 일 실시양태에서, 교호적인 펄스의 반응물들은 기판 즉 웨이퍼의 표면상에서 서로 반응하여, 각 사이클에서 원하는 제품의 오직 하나의 단일층을 형성한다. 균일성에 대하여 약간의 희생을 감수하면, 순수 ALD 작동의 여러 변형들은 한 사이클당 하나의 단일층 이상을 증착시킬 수 있을 만큼 증착 속도를 향상시킬 수 있음을 주목하여야 한다.
ALD 장치(100)의 실시양태들에서, 각 사이클에서 2개 이상의 반응물 가스들이 ALD 장치(100)를 통하여 순차적으로 흘러들어가서(퍼지하는 주기에 의해 격리됨), 웨이퍼 상에 복합 재료를 형성할 수 있다. 반응 챔버에서 흡착되거나 반응한 후에, 과잉의 각 반응물 가스는 가스 배출구(106, 도 1b)를 통해 이후에 배출될 수 있다. 상기 가스 배출구(106)는, 증착 챔버로부터 가스들을 제거하는데 도움을 주며, 증착 챔버의 내부를 저압의 상태로 만드는 진공 펌프에 연결될 수 있다. 게다가, 바닥 부재(112)에 있는 다른 커플링들 중 어느 하나와 진공 펌프를 연결함으로써, 전체 ALD 장치(100)는 저압의 상태까지 펌핑될 수 있다.
도 2는 2-2선을 따라 절취한 도 1a의 ALD 장치의 절취 사시도이다. 가스 분배 시스템(202)(도 4에 더 상세히 도시됨)과, 상부 즉 커버 플레이트(314), 바닥 즉 베이스 플레이트(206), 서셉터 즉 웨이퍼 지지부(204) 및 배기 정화부(314)에 의해 형성되는 증착 챔버(200)가 ALD 장치(100)의 내부에 존재한다. 하나 이상의 반사 플레이트(208,210)들이 가스 분배 시스템(202)과 증착 챔버(200)의 상측 및 하측에 위치한다. ALD 장치(100)는 웨이퍼 지지부(204)와, 웨이퍼 지지 히터(216)와, 열 스위치(218)를 더 포함한다.
웨이퍼 지지부(204)는 ALD 장치 내에 위치하고, 증착 공정 동안 기판 즉 웨이퍼를 지지하도록 구성된다. 웨이퍼 지지부(204)는 증착 챔버(200) 내부에서 회전되도록 개조될 수 있다. 웨이퍼 지지 히터(216)는 웨이퍼 지지부(204)를 가열하도록 구성될 수 있다. 열 스위치(218)는 상부 부재(110) 위에 제공될 수 있다. 열 스위치(218)는 상부 부재(110)의 온도를 모니터하도록 구성될 수 있다. 시스템(100)은 시스템의 여러 표면들을 원하는 온도로 유지하기 위한 다른 온도 센서 및 제어 메커니즘을 포함한다는 것은 이해될 것이다.
도시된 실시양태는, 가스 분배 시스템(202)의 상부 부분 및 상부 부재(110) 사이에서 열적 장벽을 제공하는 상부 반사 플레이트들(208)을 포함한다. 마찬가지로, 하부 반사 플레이트들(210)은 증착 챔버(200)의 하부 부분 및 바닥 부재(112) 사이에서 열적 장벽을 제공한다. 또한, 반사 플레이트들(208,210)은 저압의 환경에서 증착 챔버를 복사 가열하는 것을 돕는데 이용된다. 도 2에 도시된 바와 같이, 상부 히터(114)는 상부 반사 플레이트들(208)을 관통하여 연장되는 코일들(212)과 결합한다. 코일들(212)은 가스 분배 시스템(202)의 상부 부분에 복사를 통하여 열을 제공하도록 구성된다. 마찬가지로, 하부 히터(116)는 하부 반사 플레이트들(210)을 관통하여 연장되며, 증착 챔버(200)의 하부 부분을 가열하는 코일 들(214)과 결합한다. 별법으로, 다른 가열 시스템들이 채용될 수 있다.
가스 분배 시스템(202)은, 반응물 가스들이 커플링(102(a),102(b),104(b), 104(c))들을 통하여, 불활성 가스들이 커플링(104(a)-(d))들을 통하여 ALD 장치(100)에 들어가도록 구성된다(도 1b 참조). 가스 분배 시스템(202)은, 주어진 펄스 동안, 커플링(104(a)-(d))들을 통하여 들어가는 불활성 가스들 중 하나 이상과 커플링(102(a),102(b),104(b),104(c))들을 통하여 들어가는 반응물 가스들 중 하나를 선택적으로 혼합하도록 구성된다. 결과로서 생기는 혼합물이 증착 챔버(200)로 들어간다. 각각의 펄스 이후, 가스 분배 시스템(202)은 반응하지 않은 반응물 및 불활성 가스들을 증착 챔버로부터 가스 배출구(106)를 통해, 예컨대 퍼지 과정을 통해 배기한다. 커플링이라는 용어는 하나 이상의 가스 라인들 사이에서 가스 흐름을 연결하는 것을 기술하는데 사용된다. 본원에 도시된 커플링들의 위치는 단지 예시적인 목적을 위한 것이며, 가스 라인을 따라 다른 위치에 위치할 수도 있다. 게다가, 주어진 커플링과 연관된 가스 라인은 가스 분배 시스템(202)으로 또는 가스 분배 시스템(202)으로부터 가스를 흐르게 하도록 구성될 수 있다. 하기에 기술되는 바와 같이, 본원에 기술된 예시적인 실시양태에서 다양한 커플링들은 가스 분배 시스템(202)으로 또는 가스 분배 시스템(202)으로부터 가스를 흐르게 하기 위한 것이다. 그러나, 본 발명은 본원에 개시된 예시적인 실시양태들에 한정되는 것은 아니다.
ALD 장치(100)를 통해 반응물 가스들의 사이클이 수행되는 순서는 원하는 제품에 의존한다. 각 반응물 가스가 증착 챔버(200)에 들어가기에 앞서 하나 이상의 반응물 가스들이 서로 반응하는 것을 최소화하기 위하여, 커플링(104(a)-(d))들을 통하여 들어가는 불활성 가스는, 반응물 가스들의 펄스 사이에서 ALD 장치(100)를 통하여 주기적으로 공급되거나 계속 흐르게 된다. 이와 같이, 불활성 가스들은 증착 챔버(200)를 퍼지한다. 하기에 설명되는 바와 같이, 다양한 반응물 가스들 및 불활성 가스들이 ALD 장치(100)를 통하여 규칙적으로 주기적으로 공급되어, 개구부(120)를 통해 삽입되는 웨이퍼 상에 증착물을 형성한다.
도 3은 도 1a의 ALD 장치(100)의 증착 챔버(200) 및 가스 분배 시스템(202)의 사시도이다. 가스 분배 시스템(202)은 복수의 가스 라인들과, 혼합기 어셈블리(304)와, 이송 튜브(310)와, 흡입 플레넘(plenum) 또는 매니폴드(manifold)(312)를 포함한다. 증착 챔버(200)는 커버 플레이트(314)와, 베이스 플레이트(206)와, 배기 정화부(316)를 포함한다. 가스 분배 시스템(202)은 흡입 플레넘(312)에서 증착 챔버(200)에 연결된다.
도 4에 잘 도시된 바와 같이, 이 실시예에서, 복수의 가스 라인들은 4개의 반응물 라인(300,303,309,315)들과, 8개의 버퍼 라인(301,302,305,307,311,313, 317,319)들을 포함한다. 각 반응물 라인은 2개의 버퍼 라인들과 결합한다. 반응물 라인(300)은 버퍼 라인(301,302)들과 결합한다. 반응물 라인(303)은 버퍼 라인(305,307)들과 결합한다. 반응물 라인(307)은 버퍼 라인(311,313)들과 결합한다. 반응물 라인(315)은 버퍼 라인(317,319)들과 결합한다. 가스 분배 시스템(202)은 ALD 장치(100)의 구성에 따라 더 많은 또는 더 적은 반응물 라인들 및 버퍼 라인들을 포함할 수 있다. 게다가, 각 반응물 라인은 2개의 버퍼 라인들과 결합할 수도, 결합하지 않을 수도 있다. 예를 들면, 다른 반응물 라인은 버퍼 라인들과 결합하지 않지만, 하나 이상의 반응물 라인들이 버퍼 라인들과 결합할 수 있다. 버퍼 라인들과 결합하지 않은 반응물 라인은 다른 수단에 의해 차단될 수 있다.
각 반응물 가스 라인은 가스 분배 시스템(202) 내에서 4개의 커플링들을 포함한다. 반응물 가스 라인(300)은 커플링(300(a),300(b),300(c),300(d))들을 포함한다. 반응물 가스 라인(303)은 커플링(303(a),303(b),303(c),303(d))들을 포함한다. 반응물 가스 라인(309)은 커플링(309(a),309(b),309(c),309(d))들을 포함한다. 반응물 가스 라인(315)은 커플링(315(a),315(b),315(c),315(d))들을 포함한다. 각 반응물 가스 라인을 위한 커플링들은 하기에 기술된다.
커플링(300(a))은 반응물 가스 라인(300)과 반응물 소스에 연결된 커플링(102(b))을 결합시킨다(도 1b 참조). 커플링(300(b))은 반응물 가스 라인(300)과 버퍼 라인(302)을 결합시킨다. 커플링(300(c))은 반응물 가스 라인(300)과 버퍼 라인(301)을 결합시킨다. 커플링(300(d))은 반응물 가스 라인(300)과 혼합기 어셈블리(304)를 결합시킨다.
커플링(303(a))은 반응물 가스 라인(303)과 다른 반응물 소스에 연결된 커플링(104(b))을 결합시킨다(도 1b 참조). 커플링(303(b))은 반응물 가스 라인(303)과 버퍼 라인(307)을 결합시킨다. 커플링(303(c))은 반응물 가스 라인(303)과 버퍼 라인(305)을 결합시킨다. 커플링(303(d))은 반응물 가스 라인(303)과 혼합기 어셈블리(304)를 결합시킨다.
커플링(309(a))은 반응물 가스 라인(309)과 다른 반응물 소스에 연결된 커플링(104(c))을 결합시킨다(도 1b 참조). 커플링(309(b))은 반응물 가스 라인(309)과 버퍼 라인(313)을 결합시킨다. 커플링(309(c))은 반응물 가스 라인(309)과 버퍼 라인(311)을 결합시킨다. 커플링(309(d))은 반응물 가스 라인(309)과 혼합기 어셈블리(304)를 결합시킨다.
커플링(315(a))은 반응물 가스 라인(315)과 다른 반응물 소스에 연결된 커플링(102(a))을 결합시킨다(도 1b 참조). 커플링(315(b))은 반응물 가스 라인(315)과 버퍼 라인(319)을 결합시킨다. 커플링(315(c))은 반응물 가스 라인(315)과 버퍼 라인(317)을 결합시킨다. 커플링(315(d))은 반응물 가스 라인(315)과 혼합기 어셈블리(304)를 결합시킨다.
버퍼 라인(301,302,305,307,311,313,317,319)들은 커플링(301(a),302(a), 305(a),307(a),311(a),313(a),317(a),319(a))들을 각각 포함한다.
도 3 및 도 4에 도시된 실시양태에서, 각 커플링(301(a),305(a),311(a), 317(a))은 가스 분배 시스템(202)으로 흐르는 유로를 제공한다. 커플링(301(a))은 버퍼 라인(301)과 커플링(104(a))을 결합시킨다(도 1b 참조). 커플링(305(a))은 버퍼 라인(305)과 커플링(104(b))을 결합시킨다(도 1b 참조). 커플링(311(a))은 버퍼 라인(311)과 커플링(104(c))을 결합시킨다(도 1b 참조). 커플링(317(a))은 버퍼 라인(317)과 커플링(104(d))을 결합시킨다(도 1b 참조).
각 커플링(302(a),307(a),313(a),319(a))은 커넥터(320(a)-(d))들을 통과하는, 가스 분배 시스템(202)과 배기 정화부(316) 사이의 유로를 제공한다. 커넥 터(320(a))는 커플링(302(a))과 배기 정화부(316)를 연결한다. 커넥터(320(b))는 커플링(307(a))과 배기 정화부(316)를 연결한다. 커넥터(320(c))는 커플링(313(a))과 배기 정화부(316)를 연결한다. 커넥터(320(d))는 커플링(319(a))과 배기 정화부(316)를 연결한다. 이러한 연결 구조는 불활성 가스 밸브 조절(inert gas valving, IGV) 작동에 도움이 된다.
도 3에 도시된 실시양태에서, 반응물 가스 라인(300,303,309,315)들은 반응물 가스들을 혼합기 어셈블리(304)로 흐르게 하는 경로를 형성한다. 버퍼 라인(301,305,311,317)들은 불활성 가스들을 혼합기 어셈블리(304)로 흐르게 하는 경로를 형성한다. 결과로서 생기는 혼합물(하나의 불활성 가스당 하나의 반응물)은 이송 튜브(310)를 거쳐 흡입 플레넘(312)으로 흐르게 된다. 상기 흡입 플레넘(312)은 이송 튜브(310)를 통과하는 유로에 대해 횡방향으로 혼합물을 분산시킨다. 혼합물은 흡입 플레넘(312)을 빠져나가 커버 플레이트(314)를 경유하여 증착 챔버(200)로 들어간다. 도 2 및 도 3에 도시된 바와 같이, 커버 플레이트(314)는 베이스 플레이트(206)에 인접하여 위치하고, 혼합물이 웨이퍼 지지부(204) 위에 배치된 기판 즉 웨이퍼 위로 흐를 수 있도록 2개의 플레이트들은 그 사이에서 유로를 형성한다. 베이스 플레이트(206)와 커버 플레이트(314)는 실질적으로 직사각형 형상의 외곽 둘레를 가진다.
혼합물 펄스는, 증착 챔버(200)를 가로지르면서, 기판의 표면을 포화시킨다. 현재의 혼합물과 이전의 펄스 이후 방치된 기판의 표면들 사이에서 흡착 또는 반응이 일어날 수 있다. 혼합물은 증착 챔버(200)를 통과한 후, 배기 정화부(316) 측 으로 흐른다. 배기 정화부(316)는, 혼합물이 웨이퍼를 포화시킨 후 과잉의 혼합물 및 부산물을 수집하도록 구성된다. 일 실시양태에서, 배기 정화부(316) 내의 일 영역은 증착 챔버(200) 내의 압력보다 낮은 압력 상태에 있다. 배압의 소스 또는 진공이 상기 배기 정화부(316) 및/또는 가스 배출구(106)와 유체 연통되어, 증착 챔버(200)로부터 혼합물을 배출할 수 있다. 상기 배기 정화부(316)는 상기 가스 배출구(106)와 유체 연통된다. 모아진 혼합물은 가스 배출구(106)를 통해 증착 챔버(200)를 빠져 나간다.
도 3을 참조하면, 혼합기 어셈블리(304)는 상류 부재(306) 및 하류 부재(308)를 포함한다. 상류 부재(306)는 반응물 가스 라인들 및 버퍼 라인들과 유체 연통된다. 하류 부재(308)로 들어가는 혼합물에 앞서 반응물 가스와 불활성 가스를 혼합하도록 구성된다. 하류 부재(308)는 상류 부재(306)와 이송 튜브(310) 사이에서 혼합물을 한곳에 모이게 한다. 하류 부재(308)는 일반적으로, 혼합물의 유로의 단면적을 연속적으로 감소시킴으로써, 혼합물이 하류 부재(308)의 내부에서 재순환하는 경향을 최소화하도록 구성된다.
도 4는 버퍼 라인들 및 혼합기 어셈블리의 상류 부재(306)에 결합된 반응물 가스 라인들의 평면도이다. 커플링(300(c),300(b))들 사이에서, 버퍼 영역(400(a))은 반응물 가스 라인(300) 내에 형성된다. 커플링(303(c),303(b))들 사이에서, 버퍼 영역(400(b))은 반응물 가스 라인(303) 내에 형성된다. 커플링(309(c),309(b))들 사이에서, 버퍼 영역(400(c))은 반응물 가스 라인(309) 내에 형성된다. 커플링(315(c),315(b))들 사이에서, 버퍼 영역(400(d))은 반응물 가스 라인(315) 내에 형성된다. 가스 분배 시스템(202)으로 흐르는 유로들을 형성하는 버퍼 라인(301,305,311,317)들은, 커플링(300(b),303(b),309(b),315(b))들의 하류에 있는 연관된 가스 라인들과 결합한다. 이와 같이, 커플링(301(a),305(a), 311(a),317(a))을 통해 들어가는 가스는 버퍼 라인(302,307,311,319)들과 결합하는 반응물 라인들의 하류에서 반응물 라인(300,303,309,315)들에 들어간다. 고정된 오리피스들이 커플링(302(a),307(a),313(a),319(a))들의 위치에 배치될 수 있다.
도 3에 도시된 바와 같이, 커플링(302(a),307(a),313(a),319(a))들은 배기 정화부(316)에 연결된다. 오리피스들은, 가스들이 배기 정화부(316)로 흘러들어가고 증착 챔버(200)를 우회하도록, 높은 저항을 가지는 유로를 형성한다. 이와 같이, 반응물 가스의 펄스 동안, 커플링(300(a),303(a),309(a),315(a))들을 통해 들어가는 반응물 가스의 소량은 증착 챔버를 우회하여 배기 정화부(316) 측으로 직접 흘러들어간다. 오리피스에 의해 발생되는 저항은 분기되는 반응물의 양을 제한한다. 퍼지 단계 동안, 커플링(301(a),305(a),311(a),317(a))들을 통해 들어가는 불활성 가스의 적어도 일부분은, 반응물 가스 라인의 내에 버퍼 영역(400(a)-(d))들을 형성하기 위하여, 커플링(300(b),303(b),309(b),315(b))측으로 향하는 역흐름을 형성한다. 상기 버퍼 영역들은, 퍼지 스텝 동안 또는 다른 반응물 라인들 중 하나로부터 혼합기 어셈블리(304)로 반응물이 흐르는 동안, 반응물이 반응기로 확산하는 것을 방지한다.
예를 들어, ALD 공정 단계 동안, 반응물 가스는 반응물 라인(300)을 통하여 혼합기 어셈블리의 상류 부재(306) 측으로 흐른다. 이러한 반응물 가스 중 소량은 버퍼 라인(302)측으로 우회되어 커플링(302(a))을 통과하여 배기 정화부(316)로 빠져나간다. 버퍼 라인으로 우회된 가스의 유량은 커플링(302(a))에 고정된 오리피스의 크기에 좌우된다. 고정 오리피스의 크기는 더 많은 가스 또는 더 적은 가스를 배기 정화부(316)측으로 우회시키기 위하여 변경될 수 있다. 나머지 반응물 가스는 버퍼 영역(400(a))을 통하여 커플링(300(c)) 측으로 흐른다.
반응물 가스를 상부 부재(306)로 밀어내기 위해 불활성 가스가 커플링(301(a))을 통해 도입될 수도 있고, 그렇지 않을 수도 있다. 불활성 가스가 커플링(301(a))을 통해 도입되면, 불활성 가스는 커플링(300(c))의 위치에서 반응물 가스와 합류하고, 상류 부재(306)측으로 흘러간다. 펄스 단계 이후, 반응물 가스는 가스 라인으로부터 퍼지된다. 예를 들어, 가스 라인을 퍼지하는 것은, 커플링(300(a))으로부터의 반응물 가스의 흐름을 차단하고/차단하거나, 남아 있는 반응물 가스의 상류 부재(306)측으로의 확산을 저지하기 위하여 불활성 가스를 이용함으로써 수행될 수 있다. 차단 밸브는 가열되는 영역의 외곽에 위치할 수 있고, 반응물 가스의 흐름을 차단하는데 이용될 수 있다. 불활성 가스는, 2001년 12월 27일에 공개된 미국특허공개번호 제2001/0054377호에 일반적으로 기술된 바와 같이 불활성 가스 밸브 조절(IGV) 과정을 통해 커플링(301(a))을 거쳐 도입될 수 있는데, 상기 문헌의 개시는 본문 전체로서 본원에 참조문헌으로 포함된다. 불활성 가스의 제1흐름부는 버퍼 영역(400(a))으로 들어가서 상류 방향 즉 커플링(300(b))측으로 거꾸로 흐른다. 가스의 제2흐름부는 상류 부재(306) 측으로 하류 방향으로 흐른다. 제1흐름부는 버퍼 영역(400(a))의 끝단에서 반응물 라인(300)을 빠져나가 고 버퍼 라인(302)으로 들어간다. 고온에 의해 마모되기 쉬운 물리적인 밸브(원격임)를 사용하지 않고도, 제1흐름부가 상기 버퍼 영역(400(a))을 통해 흐르고 있는 동안에는, 커플링(300(a))의 상류에 있는 차단 밸브와 커플링(300(b)) 사이에서 잔류하는 반응물 가스가 상류 부재(306)측으로 흘러가거나 확산되는 것이 차단된다. 제1흐름부는 반응물 가스가 반응물 라인(300)을 통해 혼합기 어셈블리(304)측으로 흐르는 것을 막는 버퍼 즉 확산 장벽(또는 불활성 가스 밸브)을 형성한다. 커플링(300(a))의 상류에 위치하는 차단 밸브를 주기적으로 조절함으로써, ALD 제어 시스템은 버퍼 라인(301) 내에 불활성 가스가 흐르게 하거나 또는 흐르지 않게 하는 것을 제어할 수 있다. 이와 같이, ALD 제어 시스템은 커플링(300(a))을 통하여 반응물 라인(300)으로 들어가는 반응물 가스가 상류 부재(306)에 도달하는지 여부를 재빨리 제어할 수 있다. 게다가, 퍼지 단계와 다른 반응물 가스들의 그 후의 펄스 동안, 커플링(300(a))의 상류에 있는 차단 밸브와 커플링(300(b)) 사이에 위치하는 "데드 스페이스(dead space)"에 있는 반응물 가스는 상류 부재(306)측으로 확산되는 것이 방지될 수 있다. 서로 다른 반응물 가스들이 격리된 채로 유지되고 오직 기판의 표면상에서 반응하며 가스 상태가 아닌 상태에서 반응하기 때문에, 이러한 점은 ALD를 위해 유리하다.
커플링(303(a))을 통해 가스 분배 시스템(202)으로 들어가는 반응물 가스가 상류 부재(306)에 도달하는지 여부는, 마찬가지로 가스가 버퍼 라인(305)을 통과하여 커플링(303(c))의 위치에서 반응물 라인(303)으로 흘러들어가고 커플링(303(a))의 상류에 위치하는 차단 밸브를 이용함으로써 제어된다. 커플링(303(c))의 위치 에서 반응물 라인으로 들어가는 가스의 제1부분은 버퍼 영역(400(b))을 형성한다. 이와 같이, 가스의 제1부분은 반응물 라인(303)을 통하여 들어가는 반응물 가스가 상류 부재(306)로 들어가는 것을 막는다. 커플링(303(c))의 위치에서 반응물 라인으로 들어가는 가스의 제2부분은 버퍼 영역(400(b))으로부터 멀어지는 방향으로, 상류 부재(306)측으로 흐른다.
커플링(309(a))을 통해 가스 분배 시스템(202)으로 들어가는 반응물 가스가 상류 부재(306)에 도달하는지 여부는, 마찬가지로 가스가 버퍼 라인(311)을 통과하여 커플링(309(c))의 위치에서 반응물 라인(309)으로 흘러들어가고 커플링(309(a))의 상류에 위치하는 차단 밸브를 이용함으로써 제어된다. 커플링(309(c))의 위치에서 반응물 라인으로 들어가는 가스의 제1부분은 버퍼 영역(400(c))을 형성한다. 이와 같이, 가스의 제1부분은 반응물 라인(309)을 통하여 들어가는 반응물 가스가 상류 부재(306)로 들어가는 것을 막는다. 커플링(309(c))의 위치에서 반응물 라인으로 들어가는 가스의 제2부분은 버퍼 영역(400(c))으로부터 멀어지는 방향으로, 상류 부재(306)측으로 흐른다.
커플링(315(a))을 통해 가스 분배 시스템(202)으로 들어가는 반응물 가스가 상류 부재(306)에 도달하는지 여부는, 마찬가지로 가스가 버퍼 라인(317)을 통과하여 커플링(315(c))의 위치에서 반응물 라인(315)에 흘러들어가고 커플링(315(a))의 상류에 위치하는 차단 밸브를 이용함으로써 제어된다. 커플링(315(c))의 위치에서 반응물 라인으로 들어가는 가스의 제1부분은 버퍼 영역(400(d))을 형성한다. 이와 같이, 가스의 제1부분은 반응물 라인(315)을 통하여 들어가는 반응물 가스가 상류 부재(306)로 들어가는 것을 막는다. 커플링(315(c))의 위치에서 반응물 라인으로 들어가는 가스의 제2부분은 버퍼 영역(400(d))으로부터 멀어지는 방향으로, 상류 부재(306)측으로 흐른다.
상술한 바와 같이, 버퍼 라인(301,305,311,317)을 통하여 가스 분배 시스템(202)으로 들어가고 버퍼 영역(400(a)-(d))을 형성하는 가스들의 제1부분은, 버퍼 라인(302,307,313,319)을 통해 빠져나간다. 버퍼 라인(302,307,313,319)을 통해 빠져나가는 가스는 증착 챔버(200)를 통과하지 않고 배기 정화부(316)로 들어간다. 이와 같이, 불활성 가스의 제1부분들은 증착 챔버(200)를 우회하고 증착 챔버(200)의 하류에 위치하는 배기 정화부(316)에 의해 모아진다.
상술한 바와 같이, 버퍼 라인(301,305,311,317)을 통하여 가스 분배 시스템(202)으로 들어가는 각 가스의 제2부분은, 버퍼 영역(400(a)-(d))으로부터 멀어지는 방향으로 흘러 혼합기 어셈블리(304)로 들어간다. 반응물 펄스 동안, 제2부분들은 다른 반응물 라인들로부터의 하나 이상의 반응물 가스들과 혼합되어 상기 혼합기 어셈블리(304)에 도달한다. 따라서, 제2부분들은 증착 챔버(200)를 통과하여 흐른다. 현재의 ALD 공정 단계에 따라, 가스들은 각각의 버퍼 라인(301, 305,311,317)을 통과하여 주기적으로 흐를 수 있다.
ALD 제어 시스템이 증착 챔버(200)에 도달하도록 하고자 하는 반응물 가스는 각각의 반응물 라인을 통과하여 혼합기 어셈블리(304)로 흐른다. ALD 제어 시스템은 증착 챔버(200)에 도달하는 것을 원하지 않는 반응물 가스들과 연관된 반응물 라인들 내에 버퍼 영역(400)들을 형성한다. 버퍼 영역(400)을 가지지 않는 반응물 라인을 통하여 흐르는 반응물 가스는, 동시적으로 다른 반응물 라인들을 통하여 혼합기 어셈블리(304)로 흐르는 하나 이상의 불활성 가스의 제2부분들과 혼합된다. 상기에서 설명한 바와 같이, 이러한 가스들의 제1부분들은 다른 반응물 라인들에서 버퍼 영역들을 형성하고 증착 챔버(200)를 우회한다.
4개의 반응물 가스 라인을 포함하는 ALD 장치(100)의 일 실시양태에서, 각 반응물 가스들은 교호적으로 혼합기 어셈블리(304)에 도달한다. 이 실시양태에서, ALD 제어 시스템에 의해 선택된 반응물 가스가 혼합기 어셈블리(304)로 흐르고, 불활성 즉 "버퍼" 가스는 나머지 3개의 반응물 라인 내를 흐른다. 이 실시양태에서, 버퍼 영역들로부터 멀어지는 방향으로 흐르는 가스들의 제2부분들은 혼합기 어셈블리(304)로 들어간다. 그 후 관심의 대상이 되는 펄스의 반응물 가스는 혼합기 어셈블리(304)에서 제2부분들의 불활성 가스와 혼합된다.
예시된 ALD 장치의 실시양태의 이상의 관점들 및 특징들은 2004년 5월 7일에 출원된, 미국출원번호 제10/841,585호를 통해 알 수 있으며, 상기 문헌의 개시는 본문 전체로서 본원에 참조문헌으로 포함된다.
도 5는 상술한 이송 튜브(310), 플레넘(312), 상부 플레이트(314) 및 바닥 플레이트(206)의 일 실시양태의 단면도이다. 특히, 이 도면은 혼합기 어셈블리(304)로부터 증착 챔버(200)까지의 가스 경로를 나타낸다. 도 5에 도시된 바와 같이, 심(shim) 부재(500)는 플레넘(3120과 상부 플레이트(314) 사이에 위치될 수 있다. 심 부재(500)에는 일련의 작은 분사구멍(501)들이 마련될 수 있는데, 이 분사구멍(501)들은 증착 챔버(200)를 가로질러 균일한 흐름을 제공하기 위해 플레 넘(312) 내에서 충분한 배압을 생성하기 위해 마련된다. 그러나, 도 5에 도시된 바와 같이, 이러한 디자인은 증착 챔버(200)와 이송 튜브(310) 사이에서 수많은 재순환 셀(502)들을 형성하는 결과를 초래할 수 있다. 이러한 재순환 셀(502)들 내부에, 다음의 펄스로부터의 반응물들이 모일 수 있다. 이러한 점들은 증착 챔버(200) 내에서 CVD 증착을 유발할 수 있다. 그러한 CVD 증착은 일반적으로 바람직하지 않고, 증착 챔버(200) 내부에서 파티클이 형성되는 결과를 가져올 수 있다. 또한, 심 부재(500)는 가스 흐름의 급격한 수축 및 이후 팽창을 발생시킬 수 있다. 이러한 점들은 가스의 온도를 급격히 감소시켜 가스의 흐름에서 전구체들의 응결을 가져올 수 있다.
도 6 내지 도 9a는 이송 부재(510) 및 상부(커버) 플레이트(514)의 일 실시양태를 도시한다. 이 실시양태에서, 가스 흐름의 팽창과 수축을 매끄럽게 함으로써 가스 경로 내에서의 재순환 셀들을 감소시키거나 제거하는 것을 목적으로 한다. 도 6 및 도 7은 이송 부재(510) 및 상부 플레이트(514) 각각의 상면 사시도 및 평면도이고, 도 8은 이송 부재(510)가 없는 상태에서 상부 플레이트(514)를 상면에서 본 평면도이고, 도 9는 도 7의 9-9선의 단면도이고, 도 9a는 도 9의 일부분의 확대도이다.
도시된 바와 같이, 이송 부재(510)는 혼합기(304)로부터 가스의 점진적인 팽창을 위해 마련된 일반적인 삼각형 형상의 유로 경로를 형성한다. 도 8 및 도 9에 가장 잘 도시된 바와 같이, 도시된 실시양태의 이송 부재(510)는 대체적으로 혼합기(304)에 인접한 제1부분(518)과 대체적으로 상부 플레이트(514)의 개구부(522)에 인접한 제2부분(520)을 포함한다. 도 7 및 도 8에 도시된 바와 같이, 제1부분(518)은 A각도로 수평 방향으로 팽창하며, 수평 방향으로 분기하는 한 쌍의 벽(519)들을 포함한다. 반면에, 제2부분(520)은 B각도로 수평 방향으로 팽창하며, 수평 방향으로 분기하는 한 쌍의 벽(521)들을 포함한다. 일 실시양태에서, B각도는 A각도보다 크다. 일 실시양태에서, A각도는 약 5도 내지 45도 사이며, B각도는 약 30도 내지 75도 사이다. 도시된 실시양태에서, 수평 방향으로 분기하는 벽들은 실질적으로 직선 형상이다. 그러나, 변형된 실시양태에서, 수평 방향으로 분기하는 벽들은 곡선 형상, 아크 형상, 계속해서 변하는/변하거나 분할된 형상일 수 있다. 그러한 실시양태에서, 분기하는 벽들은 상술된 범위 내에서 평균 분기각을 가질 수 있다.
도 9에 도시된 바와 같이, 이송 부재(510)는, 벽(519,521)들, 상부 벽(523) 및 상부 플레이트(514)의 상면(525)으로 형성된 가스 통과부(511)의 높이를 부분적으로 한정하는 상부 벽(523)을 포함한다. 일 실시양태에서, 제1부분(518)에서, 가스 통과부(511)의 높이(h1)는 바람직하게는 실질적으로 일정하다. 제2부분(520)에서, 가스 통과부(511)의 높이(h2)는 가스가 흐르는 방향으로 점진적으로 감소한다. 이와 같이, 개구부(522)에 인접한 제2부분(520)의 부피는 도 5의 플레넘(312)과 비교하여 감소할 수 있다. 또한, 이송 부재(510)는 수평 방향으로 팽창하기 때문에, 가스 흐름의 팽창을 매끄럽게 하고 챔버의 폭 방향으로 가스의 흐름을 퍼지게 하는데 도움이 되는 배압을 높이기 위하여, 가스 경로의 높이는 감소된다. 도시된 실시양태에서, 통과부(511)에 의해 형성된 가스 경로는 일반적으로 증착 챔버(200) 내의 가스 경로와 평행하고 반대 방향이다(예컨대, 도 11을 참조).
도시된 실시양태의 다른 장점은, 가스 통과부(511)가 이송 부재(510)와 상부 플레이트(514)의 상면(525) 사이에서 형성된다는 점이다. 이러한 "조개껍질형(clamshell)" 구조는, 예컨대 튜브와 비교할 때, 이송 부재(511)를 깨끗이 하고 다시 닦는 일을 더 용이하게 한다. 특히, 상부 플레이트(514)로부터 제거될 때, 큰 개구부가 만들어지는데, 이는 이송 부재(511)의 내면을 노출시켜 클리닝과 다시 닦는 일을 용이하게 한다.
이제 도 8, 도 9 및 도 9a를 참조하면, 상부 플레이트(514)에는 이송 부재(510)로부터 가스를 받아들이기 위한 개구부(522)가 마련된다. 일 실시양태에서, 개구부(522)는 제2부분(520)의 끝단의 단면적(가스 흐름에 대하여)과 실질적으로 동일한 단면적을 가진다. 이와 같이, 이송 부재(510)부터 상부 플레이트(514)까지의 매끄러운 가스의 흐름이 향상된다. 개구부(522)는 일반적으로 긴 직사각형 형상이다. 도 8 참조.
도 9a에 도시된 바와 같이, 개구부(522)로부터, 상부 플레이트(514)는 협폭부(526)와 연결되는 점진적인 수축부(524)를 포함한다. 수축부(524)는 테이퍼진 즉 경사진 벽(525)을 포함하는데, 이 벽(525)은 가스 흐름의 단면적을 점진적으로 감소시킨다. 도시된 실시양태에서, 협폭부(526)는 상부 플레이트(514)를 관통하여 대체적으로 수직 하방으로 연장되는 실질적으로 일정한 단면적을 가진 대체적으로 직사각형 형상의 슬릿을 구비한다. 상기 협폭부(526)는 혼합기(304)와 증착 챔버(200) 사이의 가스 흐름에서 가장 작은 단면적(가스 흐름에 대하여)을 가진 부분 이다. 협폭부(526)는, 특히 증착 챔버(200)의 폭(w)(도 8 참조)을 따라 균일한 흐름을 제공하기 위하여, 충분한 배압을 생성하도록 구성된다. 협폭부(526)의 단부는 팽창부(528)에 연결된다. 팽창부(528)는 가스 흐름의 단면적을 증가시키도록 구성된 경사진 즉 테이퍼진 벽(529)을 포함하여, 가스가 증착 챔버(200)로 들어갈 때 점진적으로 팽창하게 된다. 팽창부(528)의 출구(530)는 증착 챔버(200)와 연결된다.
유리하게도, 도 5를 참조하여 기술된 심 부재(500)에 있는 개별적인 구멍들(실질적으로 2차원 경로)과 비교할 때, 협폭부(526)는 수직 방향으로 그리고, 증착 챔버(200)(도 8 참조)를 가로질러 수평 방향으로 길게 형성되어 있다(3차원 경로). 예를 들면, 개별적인 구멍들과 비교할 때, x-평면(즉, 구멍들 사이)과 z-평면(즉, 구멍들 아래)에 있는 재순환 셀들과 데드 스페이스는 제거되거나 감소한다. 유리하게도, 이러한 이송 부재(510), 플레넘(512) 및 상부 플레이트(514)의 배열 구조는, 또한 혼합기(304)로부터 가스를 흡입하고, 이를 증착 챔버(200)의 일부까지 미치도록 한다. 그 다음 가스 흐름은 증착 챔버(200)로 흘러들어가면서 180도 방향 전환한다.
증착 챔버(200) 내부에서, 데드 볼륨(dead volume) 및/또는 재순환 셀들이 또한 형성될 수 있다. 예를 들면, 도 10은 도 1 내지 도 4의 증착 챔버의 기판(S) 및 서셉터 플레이트(204)의 개략적인 도면이다. 도시된 바와 같이, 기판(S)과 서셉터 플레이트(204) 사이에는 간격(g2)이 있고, 서셉터 플레이트(204)와 베이스 플레이트(206) 사이에는 간격(g1)이 있다. 어떤 환경에서는, 이러한 간격(g1,g2)들 을 퍼지하는 게 어려울 수 있고, 이러한 간격(g1,g2)들은 재순환 셀들 및/또는 데드 볼륨을 은폐할 수도 있다.
도 11은 도 7의 9-9선과 유사한 라인을 따라 증착 챔버(200)의 바닥 플레이트(600)와 서셉터(602)의 변형된 실시양태의 부분적인 단면도이다. 도 12는 바닥 플레이트(600)와 서셉터(602)의 부분 사시도이다. 도시된 바와 같이, 이 실시양태에서, 바닥 플레이트(600)는 소정의 두께(t)를 가진 밀봉부(604)를 가진다. 밀봉부(604)의 하면(605)은 서셉터(602)에 대하여 밀봉하여 반응 챔버를 밀봉하게 된다. 일 실시양태에서, 밀봉부(604)의 단부(606)는 서셉터(602) 상에 위치하는 기판의 두께와 거의 동일한 두께(t)를 가진다. 기판의 두께에 따라, 밀봉부(605)는 약 0.5 ㎜ 내지 약 3 ㎜의 범위 내의 두께를 가질 수 있다. 이와 같이, 가스가 바닥 플레이트(600) 상에서 기판 측으로 흐를 때, 가스는 기판의 두께와 거의 동일한 깊이를 가지는 얕은 단에만 노출된다. 이러한 점은 재순환 영역의 크기를 줄이거나 재순환 영역을 제거하고 증착 챔버(200)를 퍼지하는 것을 용이하게 한다.
도 11 및 도 12에 도시된 바닥 플레이트(600) 및 서셉터(602)의 배열 구조의 다른 장점은, 도 10의 배열 구조와 비교할 때, 바닥 플레이트(600)와 서셉터(602) 사이의 밀봉 즉 접촉 표면이 높아진다는 점이다. 예를 들면, 도시된 실시양태에서, 밀봉부(604)의 하면(605)과 기판은 실질적으로 동일한 수직 높이 상에 위치한다. 일 실시양태에서, 하면(605)과 기판 사이의 높이 차이는 약 0 ㎜ 내지 2 ㎜ 사이다. 이러한 배열 구조는 유리하게도 기판과 바닥 플레이트(604) 사이의 데드 스페이스의 부피를 감소시키며, 증착 챔버(200)에서 재순환 셀들의 생성을 방지하 거나 감소시킨다.
도 13 및 도 14는 서셉터(602)를 더 상세히 도시한다. 도 13은 도 11 및 도 12에 도시된 방향에 대하여 180도 회전된, 서셉터(602)의 상면 사시도이다. 도 14는 기판이 서셉터(602) 상에 위치한 상태에서 서셉터(602)의 단면도이다.
이 실시양태에서, 서셉터(602)는, 기판(S)이 증착 챔버(200)에 대하여 중심에서 벗어나게 위치할 수 있도록 구성된다. 이와 같이, 기판과, 서셉터(602) 및 바닥 플레이트(600)의 경계부 사이의 간격(g3)이 기판(S)의 선단부(가스 흐름에 대하여)로부터 더 멀리 떨어져 배치될 수 있다. 일반적으로, 기판의 선단부는 증착 챔버(200)로 진입하는 입구에 가깝게 위치하고, 기판의 후단부는 증착 챔버(200)의 출구(배출구)에 가깝게 위치한다.
다른 실시양태에서, 기판은 서셉터 상에서 중앙에(또는 실질적으로 중앙에) 위치할 수 있다. 그러한 실시양태에서, 서셉터(602) 및 바닥 플레이트(600)의 경계부와, 기판의 단부 사이의 거리를 증가시키기 위하여, 상기 서셉터는 크기가 너무 커질 수 있다. 일 실시양태에서, 서셉터(602)는 기판의 직경보다 적어도 약 10% 큰 직경을 가진다. 다른 실시양태에서, 서셉터의 이러한 직경은 기판의 직경보다 적어도 약 25% 크다. 다른 실시양태에서, 서셉터의 직경은 기판의 직경보다 약 10% 내지 약 25% 크다. 그러한 실시양태들은 또한 기판의 선단부와, 서셉터 및 밀봉면의 경계부 사이에서 더 넓은 공간을 제공한다. 또한, 상술된 너무 큰 서셉터는 단독으로 또는 이 단락에서 기술된 것으로부터 파생되는 특징들과 조합하여 이용되어, 기판의 선단부와, 서셉터 및 밀봉면의 경계부 사이에서 훨씬 더 넓은 공 간을 제공할 수 있다.
유리하게도, 동등한 폭 및/또는 크기의 서셉터의 경우, 기판의 선단부와, 서셉터(602) 및 바닥 플레이트(600)의 경계부 사이의 간격(g3)은 증가될 수 있다. 이와 같이, 서셉터(602) 및 바닥 플레이트(600) 사이의 불연속부로 인해 발생하는 재순환 셀들은, 기판(S)의 선단부로부터 더 멀리 떨어져 배치된다. 그러므로, 일 실시양태에서, 서셉터(602)에 배치된 기판의 중심은 비대칭적으로 위치하고/위치하거나, 서셉터(602) 및 바닥 플레이트(600) 사이의 경계부 즉 밀봉에 대하여 중심에서 벗어나도록 위치한다. 변형된 실시양태에서, 서셉터는 비원형의 형상을 가질 수 있거나 기판의 선단부를 서셉터(602)와 바닥 플레이트(600) 사이의 불연속부로부터 더 멀리 떨어뜨리는 비대칭적인 형상을 가질 수도 있다.
도 11에 도시된 바와 같이, 서셉터(602)는, 서셉터 상에서 기판의 이동을 속박하거나 제한하기 위하여 서셉터(602)의 상면으로부터 연장되는 복수의 핀(609)들을 포함할 수 있다. 핀(609)들은 때때로 기판의 이동을 속박하거나 제한하는데 이용되는 어깨부(shoulders) 또는 봉우리부(rdges)(예컨대, 도 10에서 간격(g2)을 형성하는 어깨부를 참조)를 대체할 수 있다. 그러한 어깨부 또는 봉우리부는, 불리하게도 재순환 및/또는 데드 존(dead zone)을 형성한다. 그러므로, 일 실시양태에서, 밀봉면과 서셉터의 경계부 사이에서 서셉터의 상면은 실질적으로 평평하고, 그러한 어깨부 또는 봉우리부를 포함하지 않는다. 그러한 배치 구조는 재순환 및/또는 데드 존을 제거할 수 있다.
계속해서 도 13 및 도 14를 참조하면, 서셉터는 함몰 영역(610)을 포함할 수 있는데, 이 함몰 영역(610)은 기판이 서셉터의 가장자리부만(또는 실질적으로 가장자리부만)을 접촉하도록 구성된다(도 14 참조). 이러한 실시양태는 웨이퍼의 굴곡 및/또는 서셉터의 돔형상이 문제가 되는 것을 감소시키는데 도움이 된다. 특히 웨이퍼의 굴곡 및/또는 돔형상은 기판의 가장자리부와 서셉터 사이에서 간격의 형성을 유발할 수 있다. 가스들은 이 간격에 갇혀서 퍼지하는 일을 비효율적으로 만들고 배면에 증착되는 문제를 일으킬 수 있다. 도 14에 도시된 바와 같이, 가장자리부를 따라 기판을 접촉함으로써, 기판의 굴곡 및/또는 돔형상은 기판(S)의 가장자리부와 서셉터(602) 사이에서 형성되는 간격을 생성시키지 않는다. 이러한 사항은 가스들이 기판과 서셉터 사이에서 갇히게 되는 경향을 제거하거나 줄인다. 일 실시양태에서, 함몰 영역(610)은 약 0.2 ㎜ 내지 0.5 ㎜ 사이의 깊이를 가진다. 다른 실시양태에서, 기판(S)과 서셉터(602)는, 기판(S)의 가장자리부를 따라 연속적인 또는 실질적으로 연속적인 밀봉이 형성되도록 구성된다.
계속하여 도 13을 참조하면, 함몰부(610)는 일반적으로 원형 형상을 가져 서셉터(602)와 기판 사이의 밀봉 또한 일반적으로 원형 형상이다. 또한, 도시된 바와 같이, 함몰부(610)의 중심(c)은 대체적으로 원형 형상인 서셉터(602)의 외곽 가장자리부에 대하여 "중심에서 벗어나도록" 위치할 수 있다. 이와 같이, 상술한 바와 같은 기판의 후단부와 비교할 때, 기판의 선단부(가스 흐름에 대하여)는 바닥 플레이트(600)의 밀봉부(604)로부터 멀리 떨어질 수 있다. 이러한 점은 웨이퍼 전방의 재순환 셀들로부터 웨이퍼를 더 멀리 떨어져 위치하게 할 수 있다. 교차 흐름(cross flow) 반응기에서는 가스가 웨이퍼를 가로질러 지나가기 때문에, 서셉터 와 베이스 플레이트 사이의 후방 밀봉부에서의 재순환 셀들은 증착의 균일성에 그다지 영향을 미치지 않는다.
도 15는 상술된 서셉터(602)와 조합하여 사용될 수 있는 가장자리 접촉 승강핀(620)의 실시양태의 부분 단면도를 도시한 것이다. 도시된 바와 같이, 핀(620)은 기판(S)을 고정하기 위한 노치부(notch)(624) 즉 경사진 가장자리를 포함하는 핀 헤드(622)를 포함할 수 있다. 핀 헤드(622)는 기판의 가장자리와 접촉하도록 구성되며, 일반적으로 서셉터(602)와 함몰 영역(610) 사이의 경계부에 놓여 있다. 핀 헤드(622)는 서셉터의 개구부(628)를 관통하여 연장된 핀 샤프트(626)와 결합할 수 있다.
상기 핀(620)은, 서셉터(602)가 증착 챔버(200) 내에서 들어 올려질 때, 핀 헤드(622)는 서셉터(602)에 형성된 함몰 영역(630)의 내부로 후퇴하도록 구성될 수 있다. 서셉터가 하강하면서, 핀 헤드(622)는 서셉터(602)에 대하여 상승할 수 있다. 예를 들면, 대리인 도킷 번호 ASMEX.532A이고, 2006년 1월 _일에 출원된 미국특허출원 제__/___,___호(상기 문헌의 개시는 본문 전체로서 본원에 참조문헌으로 포함됨)에 기술된 바와 같이, 일 실시양태에서, 함몰 영역(630)에 안착된 "하강" 위치로부터 핀(620)을 상승시키기 위해, 기판은 승강 메커니즘에 의해 하측 방향으로 이동한다. 이러한 하측 방향의 이동은 지지핀(620)의 하면이 서셉터(602) 아래에 위치하는 커넥터(미도시)와 접촉하도록 한다. 핀(620)과 커넥터의 접촉은 샤프트(626)의 하부를 감싸는 스프링(미도시)을 압축시킨다. 서셉터(602)가 하측 방향으로 이동하는 동안 스프링은 압축되면서, 스프링은 서셉터(620)가 향후 상승할 때 핀(620)의 상대적인 "하강"을 용이하게 하는 복원력을 획득한다. 따라서, 커넥터에 의해 마련된 핀의 하강 이동을 위한, 스프링과 플랫폼 또는 "플로어"의 조합은, 핀을 증착 챔버(200)에 대하여 고정할 필요 없이, 서셉터(602)가 상하 방향으로 이동하는 동안 핀이 상대적으로 고정된 채로 있도록 한다.
비록 본 발명이 특정의 바람직한 실시양태들 및 실시예의 관점에서 개시되었을지라도, 본 발명은 특정하게 개시된 실시양태의 범위를 벗어나 다른 대안적인 실시양태 및/또는 본 발명의 용도와 명백한 변형물 및 등가물까지 확장됨을 당업자는 이해할 수 있을 것이다. 또한, 본 발명의 다양한 변형들이 도시되고 자세히 기술되었지만 본 발명의 범주 내에 있는 다른 변형들도 본 개시에 기초한 당업자에게 명백할 것이다. 또한, 실시양태들의 특정 성질 및 관점의 다양한 조합 또는 하위 조합들이 구성될 수 있으며 이 또한 본 발명의 범주 내에 속할 수 있다고 사료된다. 따라서, 개시된 실시양태들의 다양한 특성 및 관점들이 개시된 발명의 변형 형태를 형성하기 위하여 서로 조합되거나 대체될 수 있음을 이해하여야 한다. 이와 같이, 본 명세서에 개시된 본 발명의 범주는 상술한 특정의 실시양태들에 의하여 제한받지 않아야 하고, 다음의 청구범위들을 정당하게 파악함으로써 결정되어야 한다.
본 발명은, 가스 흐름의 팽창과 수축을 매끄럽게 함으로써 가스 경로 내에서의 재순환 셀들을 감소시키거나 제거할 수 있다. 또한, 가스 통과부(511)가 이송 부재(510)와 상부 플레이트(514)의 상면(525) 사이에서 형성되는 "조개껍질형 (clamshell)" 구조이므로, 이송 부재(511)를 깨끗이 하고 다시 닦는 일을 더 용이하게 한다.
또한, 본 발명은, 가스가 바닥 플레이트(600) 상에서 기판 측으로 흐를 때, 가스가 기판의 두께와 거의 동일한 깊이를 가지는 얕은 단에만 노출되므로, 재순환 영역의 크기를 줄이거나 재순환 영역을 제거하고 증착 챔버(200)를 퍼지하는 것을 용이하게 한다.
또한, 본 발명은, 웨이퍼의 가장자리부를 따라 기판을 접촉함으로써, 기판의 굴곡 및/또는 돔형상으로 인해 기판(S)의 가장자리부와 서셉터(602) 사이에서 간격이 형성되지 않으므로, 가스들이 이 간격에 갇혀서 퍼지하는 일을 비효율적으로 만들거나 웨이퍼의 배면에 증착되는 문제를 방지할 수 있다.

Claims (32)

  1. 원자층 증착(ALD) 박막증착장치에 있어서,
    그 내부에 형성된 공간부 내에 배치된 웨이퍼 상에 박막을 증착하도록 구성되며, 평면 상면을 가지는 서셉터 및 상기 공간부와 연결된 가스 입구 및 가스 출구를 포함하는 증착 챔버로서, 상기 가스 입구와 상기 가스 출구는 상기 서셉터에 대하여 서로 대향하여 위치하고, 상기 증착 챔버는 상기 가스 입구로부터 상기 가스 출구까지 상기 증착 챔버를 통과하는 유로(flow path)를 한정하고, 상기 증착 챔버를 통하는 상기 유로는 상기 서셉터의 상기 평면 상면과 평행한 상기 증착 챔버; 및
    상기 증착 챔버의 가스 입구로 가스를 이송하도록 구성된 가스 시스템을 포함하며,
    상기 가스 시스템은,
    복수의 가스 배관들;
    상기 증착 챔버에 대하여, 상기 가스 배관들로부터 하류에 위치하고, 상기 복수의 배관들로부터 수용되는 혼합기로서, 상기 혼합기는 복수의 가스 흐름을 혼합하도록 구성된 상기 혼합기; 및
    상기 증착 챔버에 대하여, 상기 혼합기로부터 하류에 위치하는 이송 부재로서, 상기 이송 부재는, 상기 혼합기 및 상기 가스 입구와 유체 연통되며, 상기 가스가 상기 가스 입구로 들어오기 전에 상기 가스를 수평 방향으로 확산시키도록 구성된, 수평 방향으로 분기하는 한 쌍의 벽을 구비하는 상기 이송 부재;
    를 포함하고,
    상기 이송 부재는 상기 이송 부재를 통과하는 유로를 한정하고,
    상기 이송 부재를 통과하는 유로는 상기 증착 챔버를 통과하는 상기 유로에 대해 평행하고 반대 방향이고,
    상기 이송 부재 및 상기 혼합기는 상기 증착 챔버의 위에 위치하는 것을 특징으로 하는 ALD 박막증착장치.
  2. 제1항에 있어서,
    상기 이송 부재는 제1부분 및 하류에 위치하는 제2부분을 포함하고,
    상기 제1부분은 제1각도로 분기하며 수평 방향으로 분기하는 한 쌍의 벽들을 포함하고,
    상기 제2부분은 상기 제1각도보다 큰 제2각도로 분기하며 수평 방향으로 분기하는 한 쌍의 벽들을 포함하는 것을 특징으로 하는 ALD 박막증착장치.
  3. 제1항에 있어서,
    상기 수평 방향으로 분기하는 벽들은 직선 형상인 것을 특징으로 하는 ALD 박막증착장치.
  4. 제1항에 있어서,
    상기 수평 방향으로 분기하는 벽들은 곡선 형상인 것을 특징으로 하는 ALD 박막증착장치.
  5. 제2항에 있어서,
    상기 제1부분에서, 상기 이송 부재에 의해 형성되는 유로의 높이는 일정한 것을 특징으로 하는 ALD 박막증착장치.
  6. 제2항에 있어서,
    상기 제2부분에서, 상기 이송 부재에 의해 형성되는 유로의 높이는 가스의 흐름 방향을 따라 감소하는 것을 특징으로 하는 ALD 박막증착장치.
  7. 제6항에 있어서,
    상기 제1부분에서, 상기 이송 부재에 의해 형성되는 유로의 높이는 일정한 것을 특징으로 하는 ALD 박막증착장치.
  8. 제1항에 있어서,
    상기 증착 챔버의 가스 입구는, 상기 이송 부재와 연결된 수축부와, 상기 증착 챔버의 공간부와 연결된 팽창부와, 상기 수축부와 상기 팽창부 사이에 위치하는 협폭부를 포함하며,
    상기 수축부는 상기 이송 부재로부터 상기 협폭부까지의 유로의 단면적을 감소시키도록 구성되며,
    상기 팽창부는 상기 협폭부부터 상기 증착 챔버의 공간부까지의 유로의 단면적을 증가시키도록 구성되는 것을 특징으로 하는 ALD 박막증착장치.
  9. 제8항에 있어서,
    상기 혼합기와 상기 증착 챔버 사이의 유로의 단면적은 상기 협폭부 내에서 최소인 것을 특징으로 하는 ALD 박막증착장치.
  10. 제1항에 있어서,
    상기 증착 챔버는 상부 플레이트, 바닥 플레이트 및 상기 바닥 플레이트에 대해 수직 방향으로 이동하도록 구성된 서셉터를 포함하며,
    상기 서셉터는 제1 위치에서 상기 바닥 플레이트의 하면에 대해 밀봉하는 것을 특징으로 하는 ALD 박막증착장치.
  11. 제8항에 있어서,
    상기 증착 챔버는 상부 플레이트, 바닥 플레이트 및 상기 바닥 플레이트에 대하여 밀봉하도록 수직 방향으로 이동하도록 구성된 서셉터를 포함하며,
    상기 가스 입구는 상기 상부 플레이트에 형성된 것을 특징으로 하는 ALD 박막증착장치.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
KR1020077018502A 2005-01-18 2006-01-17 박막 성장용 반응 시스템 KR101332739B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US64558105P 2005-01-18 2005-01-18
US60/645,581 2005-01-18
US65683205P 2005-02-24 2005-02-24
US60/656,832 2005-02-24
PCT/US2006/001640 WO2006078666A2 (en) 2005-01-18 2006-01-17 Reaction system for growing a thin film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137004189A Division KR101463581B1 (ko) 2005-01-18 2006-01-17 박막 성장용 반응 시스템

Publications (2)

Publication Number Publication Date
KR20070100354A KR20070100354A (ko) 2007-10-10
KR101332739B1 true KR101332739B1 (ko) 2013-11-25

Family

ID=73197157

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137004189A KR101463581B1 (ko) 2005-01-18 2006-01-17 박막 성장용 반응 시스템
KR1020077018502A KR101332739B1 (ko) 2005-01-18 2006-01-17 박막 성장용 반응 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137004189A KR101463581B1 (ko) 2005-01-18 2006-01-17 박막 성장용 반응 시스템

Country Status (6)

Country Link
US (3) US8211230B2 (ko)
EP (1) EP1866465A2 (ko)
JP (2) JP4934595B2 (ko)
KR (2) KR101463581B1 (ko)
TW (1) TWI412063B (ko)
WO (1) WO2006078666A2 (ko)

Families Citing this family (392)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US8211230B2 (en) 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
US20060216415A1 (en) * 2005-03-24 2006-09-28 United Technologies Corporation Vapor aluminide coating gas manifold
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
EP2215282B1 (en) * 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9410248B2 (en) * 2010-03-29 2016-08-09 Koolerheadz Modular gas injection device
US9790594B2 (en) 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9327252B2 (en) * 2013-03-15 2016-05-03 Applied Materials, Inc. Compact device for enhancing the mixing of gaseous species
CN105164309B (zh) * 2013-05-01 2019-04-12 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR20150145509A (ko) 2014-06-20 2015-12-30 한국전자통신연구원 센서를 이용한 응용 프로그램 전송 장치 및 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
MX2014013233A (es) * 2014-10-30 2016-05-02 Ct Investig Materiales Avanzados Sc Tobera de inyeccion de aerosoles y su metodo de utilizacion para depositar diferentes recubrimientos mediante deposito quimico de vapor asistido por aerosol.
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106607320B (zh) * 2016-12-22 2019-10-01 武汉华星光电技术有限公司 适用于柔性基板的热真空干燥装置
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10752991B2 (en) * 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
KR102509014B1 (ko) 2017-05-31 2023-03-13 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화 챔버
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108517488B (zh) * 2018-05-14 2019-07-09 武汉大学 一种合金材料部件表面防腐耐磨复合涂层及其制备方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109306470A (zh) * 2018-09-29 2019-02-05 北京北方华创微电子装备有限公司 用于原子层沉积工艺的进气装置及原子层沉积装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102162260B1 (ko) 2018-10-22 2020-10-06 세메스 주식회사 가이드 핀 및 이를 구비하는 포토 마스크 지지 유닛과 포토 마스크 세정 장치
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129501B (en) * 2019-04-25 2022-03-31 Beneq Oy Gas distribution unit in connection with the ALD reactor
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP2021001361A (ja) * 2019-06-19 2021-01-07 東京エレクトロン株式会社 処理方法及び基板処理システム
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003508932A (ja) * 1999-09-08 2003-03-04 エーエスエム アメリカ インコーポレイテッド 薄膜を成長させる、改良された装置および方法
JP2004091848A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
JPS6055478B2 (ja) * 1982-10-19 1985-12-05 松下電器産業株式会社 気相成長方法
DE3411208A1 (de) * 1984-03-27 1985-10-10 Leybold-Heraeus GmbH, 5000 Köln Haltevorrichtung fuer substrate, insbesondere in vakuum-beschichtungsanlagen
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
JPH0410529A (ja) 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
JPH0590238A (ja) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
US5429498A (en) 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
JPH0718438A (ja) 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
JP3082603B2 (ja) 1994-11-22 2000-08-28 住友金属工業株式会社 ウエハ搬送装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0936049A (ja) 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5853214A (en) 1995-11-27 1998-12-29 Progressive System Technologies, Inc. Aligner for a substrate carrier
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6245152B1 (en) 1996-07-05 2001-06-12 Super Silicon Crystal Research Institute Corp. Method and apparatus for producing epitaxial wafer
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
AUPO129096A0 (en) * 1996-07-26 1996-08-22 Boc Gases Australia Limited Oxygen dissolver for pipelines or pipe outlets
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5887117A (en) * 1997-01-02 1999-03-23 Sharp Kabushiki Kaisha Flash evaporator
TW464944B (en) * 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214116B1 (en) * 1998-01-17 2001-04-10 Hanvac Corporation Horizontal reactor for compound semiconductor growth
US6264467B1 (en) 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
JP2000315720A (ja) 1999-04-28 2000-11-14 Ibiden Co Ltd セラミックス製の半導体製造用治具
WO2000079576A1 (en) * 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6163015A (en) * 1999-07-21 2000-12-19 Moore Epitaxial, Inc. Substrate support element
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
TW522473B (en) * 2000-12-06 2003-03-01 Angstron Systems Inc Method and apparatus for improved temperature control in atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20050000449A1 (en) 2001-12-21 2005-01-06 Masayuki Ishibashi Susceptor for epitaxial growth and epitaxial growth method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
JP4007044B2 (ja) 2002-04-19 2007-11-14 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
KR100474971B1 (ko) 2002-09-14 2005-03-10 주식회사 아이피에스 플로우타입 박막증착장치 및 그에 채용되는 인젝터 어셈블리
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
JP2004296490A (ja) 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6941963B2 (en) * 2003-06-26 2005-09-13 Planar Systems, Inc. High-speed diaphragm valve for atomic layer deposition
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4399452B2 (ja) * 2004-06-15 2010-01-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US7582181B2 (en) * 2004-09-30 2009-09-01 Tokyo Electron Limited Method and system for controlling a velocity field of a supercritical fluid in a processing system
US8211230B2 (en) * 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
US7669932B1 (en) 2006-02-28 2010-03-02 Grammer A.G. Headrest
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7976898B2 (en) * 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
KR200463893Y1 (ko) 2010-07-09 2012-12-03 김철우 전원 및 통신선로의 복합 개폐장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003508932A (ja) * 1999-09-08 2003-03-04 エーエスエム アメリカ インコーポレイテッド 薄膜を成長させる、改良された装置および方法
JP2004091848A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置

Also Published As

Publication number Publication date
KR101463581B1 (ko) 2014-11-20
WO2006078666A3 (en) 2006-10-12
JP5722753B2 (ja) 2015-05-27
US10468291B2 (en) 2019-11-05
JP2012089863A (ja) 2012-05-10
JP2008527748A (ja) 2008-07-24
TW200701301A (en) 2007-01-01
US20120266821A1 (en) 2012-10-25
EP1866465A2 (en) 2007-12-19
WO2006078666A2 (en) 2006-07-27
US20160233124A1 (en) 2016-08-11
US9359672B2 (en) 2016-06-07
KR20070100354A (ko) 2007-10-10
JP4934595B2 (ja) 2012-05-16
US8211230B2 (en) 2012-07-03
US20060266289A1 (en) 2006-11-30
TWI412063B (zh) 2013-10-11
KR20130027575A (ko) 2013-03-15

Similar Documents

Publication Publication Date Title
KR101332739B1 (ko) 박막 성장용 반응 시스템
US8465801B2 (en) Gas mixer and manifold assembly for ALD reactor
JP2008527748A5 (ko)
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
USRE48871E1 (en) Method and apparatus for depositing thin films on a surface
US7020981B2 (en) Reaction system for growing a thin film
KR101505497B1 (ko) 소용적의 대칭 흐름형 단일 웨이퍼 원자층 증착 장치
US20080072821A1 (en) Small volume symmetric flow single wafer ald apparatus
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US20100012036A1 (en) Isolation for multi-single-wafer processing apparatus
US20080202416A1 (en) High temperature ALD inlet manifold
US11830731B2 (en) Semiconductor deposition reactor manifolds
US11492701B2 (en) Reactor manifolds
US20230069359A1 (en) Reactor manifolds
KR20240000647U (ko) 반응기 매니폴드

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181018

Year of fee payment: 6