JP2008527748A - 薄膜成長用反応装置 - Google Patents

薄膜成長用反応装置 Download PDF

Info

Publication number
JP2008527748A
JP2008527748A JP2007551472A JP2007551472A JP2008527748A JP 2008527748 A JP2008527748 A JP 2008527748A JP 2007551472 A JP2007551472 A JP 2007551472A JP 2007551472 A JP2007551472 A JP 2007551472A JP 2008527748 A JP2008527748 A JP 2008527748A
Authority
JP
Japan
Prior art keywords
gas
susceptor
thin film
deposition chamber
ald
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007551472A
Other languages
English (en)
Other versions
JP4934595B2 (ja
JP2008527748A5 (ja
Inventor
モヒス ヴァーゲーゼ
エリック シェロ
ダーコ バビック
ヘルベルト テルホルスト
マルコ ペウッサ
ミン ヤン
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2008527748A publication Critical patent/JP2008527748A/ja
Publication of JP2008527748A5 publication Critical patent/JP2008527748A5/ja
Application granted granted Critical
Publication of JP4934595B2 publication Critical patent/JP4934595B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

原子層堆積(ALD)式薄膜堆積装置は、堆積チャンバを含み、当該堆積チャンバは、その内部に画定された空間内において載置されたウエハ上に薄膜を堆積させるように構成される。堆積チャンバは、その空間と連通するガス流入口を備える。ガスシステムは、堆積チャンバのガス流入口にガスを送出するように構成される。ガスシステムの少なくとも一部分が、堆積チャンバ上方に配置される。ガスシステムは、複数のガスの流れを混合する混合器を含む。移送部材は、混合器およびガス流入口と流体連通する。移送部材は、水平方向に末広形になった一対の壁を含み、当該壁は、ガス流入口に入る前に水平方向にガスを拡散させるように形成される。

Description

関連出願
本願は、2005年1月18日出願の仮出願第60/645,581号、および2005年2月24日出願の仮出願第60/656,832号に対し、35U.S.C.§119(e)に基づき優先権の利益を主張し、これらの出願の全ての内容は、参照によりその全体が本明細書に組み込まれる。
本発明は、化学処理装置に関する。特に、本発明は、反応チャンバ内において薄膜を成長させる装置に関する。
基板面に薄膜を堆積させる気相成長法がいくつかある。これらの方法には、真空蒸着堆積、分子線エピタキシー(MBE)、化学気相成長法(CVD)(低圧の有機金属CVD、およびプラズマCVDを含む)、および原子層エピタキシー(ALE)(最近では原子層堆積(ALD)と呼ばれることが多い)の異なる変形が含まれる。
素材からシリコンウエハなどの基板に薄膜を形成するALDは、半導体産業において知られるプロセスである。ALDは、自己飽和反応(self-saturating reaction)がサイクルにおいて起こることにより薄膜が積み重ねられる一種の気相成長である。膜厚は、行われたサイクルの回数により決まる。ALDプロセスでは、気体の前駆物質が、交互に繰り返し基板またはウエハに供給されて、ウエハ上に素材からなる薄膜が形成される。1つの反応物質が、自己制限式のプロセスにおいてウエハ上に吸着する。次の反応物質のパルスが、吸着した素材と反応して、所望の素材から単一の分子層を形成する。配位子交換またはゲッタリング反応における場合などの、適切に選択された試薬との反応によって、分解が生じ得る。一般的なALD反応では、分子からなる単分子層のみが、サイクルごとに形成される。ターゲットの厚さに達するまで成長サイクルを繰り返すことにより、膜が厚くなる。
ALDプロセスでは、被膜される少なくとも1つの面を有する1枚またはそれ以上の基板と、所望の生成物を形成する反応物質とを、リアクタまたは堆積チャンバ内に導入する。一般に、1枚またはそれ以上の基板を、ウエハ支持部またはサセプタ上に配置する。ウエハ支持部を、リアクタ内に画定されるチャンバ内に配置する。ウエハを、反応ガスの凝縮温度より上でかつ反応ガスの熱分解温度より下の所望の温度まで加熱する。
ALDに特有の特徴は、面が飽和状態に達するまで、各反応物質が基板にパルス式に送出されることである。上述のように、1つの反応物質が、一般的に、基板面に吸着し、その後、第2の反応物質が、被吸着種と反応する。成長速度は、自己制限されるので、CVDにおける場合のように反応物質の温度または流束ではなく、反応シーケンスの繰り返し速度に比例する。
自己制限式の成長を行うために、連続した反応物質パルス同士の間のパージまたは他の除去ステップにより、気相の反応物質同士を混合させないようにしておく。パージステップ中に所望の素材からの成長は起こらないので、パージステップの期間を制限し得ることが好ましい。パージステップの期間が短縮される程、リアクタ内における反応物質の吸着および反応に利用できる時間が長くなり得るが、反応物質は相互に反応することが多いので、堆積が自己制限式であるという性質を損なうCVD反応の危険を低減させるために、気相の反応物質が混合されることは避けるべきである。反応チャンバのすぐ上流または下流の共有の管における混合があっても、付随的に生じるCVDとそれに続く粒子の生成とにより、プロセスに汚染が生じる恐れがある。
気相反応物質が混じり合うことを妨げるために、ALDリアクタは、パージステップ中に反応物質が反応物質源から反応チャンバに流れないように、供給導管の一部分に、「不活性ガス弁調節」または「拡散障壁」機構を含み得る。不活性ガス弁調節には、供給導管内を流れる通常の反応物質の流れに対向する方向に流れるガスの、ガス相の、対流障壁を形成することを伴う。T.Suntola著、「Atomic Layer Epitaxy」、Handbook of Crystal Growth III、Thin Films and Epitaxy、Part B: Growth Mechanisms and Dynamics、第14章、D.T.J. Hurle編集、Elsevier Science V.B.、1994年、601〜663ページを参照。その開示は、参照によりここに組み込まれる。特に、624〜626ページを参照。このような先行技術の構成によって、気相の反応物質の混合は起こらなかったが、なお改良の余地はある。特に、実験による研究から、反応チャンバ内に、パージが困難なデッドポケットおよび/または再循環セルがあることが分かった。したがって、前の反応物質パルスの一部分が、次の反応物質パルス中に反応チャンバ内に残ったままになっている場合がある。これは、CVD成長が反応チャンバ内と基板自体の上とにおいて生じかねず、不都合である。反応チャンバ内においてCVD成長が起こることによって、粒子の流出が増加することになる場合があり、不都合である。
よって、パージしやすく、また、パージステップ後に反応物質が残存する場合があるデッドポケットがないかまたはかなり減少した、改良型リアクタ設計が必要である。
したがって、本発明の一実施形態は、原子層堆積(ALD)薄膜式の堆積装置を含み、当該装置は、堆積チャンバを含み、当該堆積チャンバは、堆積チャンバ内に画定された空間内において載置されたウエハ上に薄膜を堆積させるように構成されている。堆積チャンバは、ガス流入口を含み、当該ガス流入口は、その空間と連通する。ガスシステムは、ガスを堆積チャンバのガス流入口に送出するように構成される。ガスシステムの少なくとも一部分は、堆積チャンバ上方に配置される。ガスシステムは、複数のガスの流れを混合させるように構成された混合器を含む。移送部材は、混合器およびガス流入口と連通する。移送部材は、ガスを、ガス流入口に入る前に水平方向に拡散させるように形成された水平方向に末広形の一対の壁を含む。
本発明の別の実施形態は、原子層堆積(ALD)薄膜式の堆積装置を含み、当該装置は、堆積チャンバ内に画定された空間内において載置されたウエハ上に薄膜を堆積させるように構成されている。堆積チャンバは、ガス流入口を含み、当該ガス流入口は、その空間と連通する。堆積チャンバは、密閉部をさらに備え、当該密閉部は、密閉面を含む。サセプタは、空間内においてウエハを支持するように形成される。サセプタは、サセプタが密閉面に対して密閉を行う第1の位置と、サセプタが密閉面に対して密閉を行わなくなる下方の第2の位置との間を、堆積チャンバに鉛直方向に移動するように構成される。第1の位置において、密閉面とサセプタとの間の界面と、サセプタに配置されたウエハとの間の垂直方向の距離が、約2ミリメートル未満である。
本発明の別の実施形態は、半導体基板を処理するための基板支持部を備える。基板支持部は、凹所を有する上面を含む。凹所は、基板支持部の上面が、基板の縁部分に沿ってのみ基板と接触するように形成される。
本発明の別の実施形態は、堆積(ALD)式の薄膜堆積装置を含み、当該装置は、堆積チャンバを含み、当該堆積チャンバの空間内において載置されたウエハ上に薄膜を堆積するように構成される。堆積チャンバは、その空間と連通するガス流入口を含む。堆積チャンバは、さらに、密閉部を備え、当該密閉部は、密閉面を含む。サセプタは、空間内においてウエハを支持するように形成される。サセプタは、サセプタが密閉面に対して密閉を行う第1の位置と、サセプタが密閉面に対して密閉を行わなくなる下方の第2の位置との間を、堆積チャンバに鉛直方向に移動するように構成される。サセプタは、第1の位置において、ウエハがサセプタに配置されたとき、ウエハの、ガスの流れに対して前の縁が、ウエハの後縁と比較して、密閉面からさらに遠く配置されるように、形成される。
これらおよび他の目的は、以下の詳細から明らかになる既知のプロセスおよび装置を超える利点とともに以下に説明し、特許請求の範囲に記載する本発明により達成される。
図1Aは、ALD装置100の実施形態の斜視図である。ALD装置100は、上部材110と、底部材112と、前部材118とを含み、これらの部材が、ともに、ALD装置100のハウジングの一部分を形成する。図1Aに示す実施形態では、上部ヒーター114が、上部材110を貫通する。上部ヒーター114は、ALD装置100の上部内の温度を維持するように構成される。同様に、下部ヒーター116が、底部材112を貫通する。下部ヒーターは、ALD装置100の下部内の温度を維持するように構成される。
ALD装置100の前部材118は、ゲートバルブとして機能し、開口部120を覆う。図1Aの開口部120を点線で描いている。一旦、前部材118を取り外すと、開口部120は、ALD装置100により処理されるウエハを受け入れ得る。このように、受け入れられたウエハは、ALD装置100内の堆積チャンバ内に配置される。一旦、処理が完了すると、ウエハを、堆積チャンバから同じ開口部120を通って取り出し得る。
ALD制御システム(図示せず)は、ウエハ処理中にALD装置100を制御するように構成される。例えば、ALD制御システムは、ALD装置100内外への反応物質およびバッファガスの流れを制御するために、コンピュータ制御システムと、電気的に制御される弁とを含み得る。ALD制御システムは、あるタスクを実行する、FPGAまたはASICなどの、ソフトウェアまたはハードウェアコンポーネントなどのモジュールを含む場合がある。モジュールは、コンピュータ制御システムのアドレス指定可能な記憶媒体に常駐するように構成し、また、1つまたはそれ以上の処理装置において実行される構成にすることが好ましい場合がある。
図1Bは、底部材112を示すALD装置100の斜視図である。ALD装置100は、一組の継手102(a)、102(b)、104(a)〜(d)をさらに含む。この例示的な構成では、ALD装置100は、4つの別個の反応気体源を含む。これらの反応気体源の2つが、継手102(a)、102(b)によりALD装置100に連結されている。これらのガス源は、圧縮してもしなくてもよい。これらの気体源は、例えば、固体昇華器(solid sublimation vessels)、液体バブラーまたはガスボンベであり得る。第3および第4の反応気体源が、継手104(b)、104(c)によりALD装置100に連結される。
一実施形態では、各反応気体源は、関連づけられる不活性ガス源を有し、当該不活性ガス源は、反応物質をパルス式に送った後、反応気体管をパージするために使用し得る。例えば、継手102(a)および102(b)に連結された反応気体源と関連付けられる不活性ガス源は、継手104(a)および104(d)にそれぞれ連結され得る。継手104(b)および104(c)に連結された反応気体源と関連付けられる不活性ガス源はまた、継手104(b)および104(c)にもそれぞれ連結され得る。これらの不活性ガス源は、加圧してもしなくてもよい。これらの不活性ガス源は、例えば、希ガスまたは窒素ガス源の場合がある。ALD制御システム(図示せず)は、種々のガスの、ALD装置100への到達可否が選択的に行われ得るように、1つまたはそれ以上の弁を制御する。
ALD装置100は、ウエハを堆積チャンバ内に挿入して、ウエハに薄膜を堆積させるように構成され得る。一般に、ALD装置100は、継手102(a)、102(b)の一方、または継手104(b)、104(c)の一方を経て、第1の反応ガスを受け入れ得る。ALD装置100はまた、継手104(a)〜104(d)を経て不活性ガスを受け入れ得る。一実施形態では、不活性ガスは、第1の反応ガスとともに堆積チャンバに入って、ウエハ上に第1の反応物質からなる単分子層だけを吸着させる。適切な弁(図示せず)を切り換えることによって、第1の反応ガスの流れが、好ましくは不活性ガス弁調節(IGV)機構(inert gas valving(IGV)arrangement)により停止され、次に、堆積チャンバおよびガス管が、継手104(a)、104(b)、104(c)、および104(d)からの不活性ガスでパージされる。堆積チャンバおよびガス管が、パージされた後、堆積サイクルが、他の反応ガスの1つまたはそれ以上の反応ガスにより続行される。一実施形態では、交互にパルス式に送出される反応物質が、基板またはウエハ面上において相互に反応して、各サイクルにおいて所望の生成物からなる単分子層のみを形成する。本来のALDを変形することによって、均一性がいくらか犠牲になっても、サイクルごとの、1つの単分子層上における堆積速度が増し得ることに留意すべきである。
ALD装置100の実施形態では、2つを超える反応ガスを、各サイクルにおいてALD装置100の中に(パージ期間を間にはさんで)連続して流して、ウエハ上に化合物を形成し得る。堆積チャンバ内において吸着または反応が起こった後、各反応ガスの過剰量は、続いて、ガス出口106(図1B)から排出され得る。堆積チャンバからのガスの除去の助けとなるように、また堆積チャンバ内を低圧状態にするように、ガス出口106を真空ポンプに連結し得る。さらに、底部材112の他方の継手のどれかを真空ポンプに連結することにより、ALD装置100全体を排気して低圧にし得る。
図2は、図1Aの2−2線に沿って切除したALD装置100の断面図である。ALD装置100内には、ガス分配装置202(図4にさらに詳細を示す)と、堆積チャンバ200とがあり、当該堆積チャンバ200は、トッププレートまたはカバープレート314、ボトムプレートまたはベースプレート206、サセプタまたはウエハ支持部204および排気除去器316により形成される。ガス分配装置202の上側に配置されているのは、1枚またはそれ以上の反射板208であり、また、堆積チャンバ200の下側に配置されているのは、1枚またはそれ以上の反射板210である。ALD装置100は、ウエハ支持部204、ウエハ支持部のヒーター216、およびサーマルスイッチ218をさらに含む。
ウエハ支持部204は、ALD装置内に配置され、堆積プロセス中に基板またはウエハを支持するように構成される。ウエハ支持部204は、堆積チャンバ200内において回転するように適合され得る。ウエハ支持部のヒーター216は、ウエハ支持部204を加熱するように構成され得る。サーマルスイッチ218は、上部材110に設け得る。サーマルスイッチ218は、上部材110の温度をモニターするように構成され得る。本装置100は、本装置の種々の面を所望の温度に維持するために他の温度センサおよび制御機構を含むことが、理解されるだろう。
ここに示す実施形態は、ガス分配装置202の上部と上部材110との間に熱障壁を提供する上反射板208を含む。同様に、下反射板210は、堆積チャンバ200の下部と底部材112との間に熱障壁を提供する。反射板208および210は、低圧環境において堆積チャンバを放射加熱することを助けるためにも使用される。図2に示すように、上部ヒーター114は、コイル212に結合され、当該コイル212は、上反射板208を貫通する。コイル212は、ガス分配装置202の上部を放射により加熱し得るように構成される。同様に、下部ヒーター116は、コイル214に結合され、当該コイル214は、下反射板210を貫通し、堆積チャンバ200の下部を加熱する。あるいは、他の加熱装置を使用してよい。
ガス分配装置202は、継手102(a)、102(b)、104(b)、104(c)から入る反応ガスと、継手104(a)〜(d)から入る不活性ガスとを、ALD装置100に送るように構成される(図1Bを参照)。ガス分配装置202は、継手104(a)〜(d)から入る不活性ガスの1つまたはそれ以上を、所定のパルスの間に継手102(a)、102(b)、104(b)、104(c)から入る複数の反応ガスの1つの反応ガスと選択的に混合させるように、さらに構成される。結果として生じる混合物が、堆積チャンバ200に入る。各パルスの後に、ガス分配装置202は、パージなどによって、ガス出口106を経て堆積チャンバから、反応しなかった反応物質および不活性ガスを排出する。継手という用語は、1つまたはそれ以上のガス管同士の間においてガスの流れをつなぐことを示すために使用している。ここに示す継手の位置は、例示目的で示したに過ぎず、ガス管に沿った別の位置に配置してよい。さらに、所与の継手と関連づけられるガス管は、ガスをガス分配装置202の内外に流すように構成され得る。後述するように、ここに説明する例示的な実施形態における種々の継手は、ガス分配装置202内外へのガスの流れを表す。しかしながら、本発明は、ここに開示した例示的な実施形態に限定されない。
反応ガスがALD装置100の中を循環する順番は、所望の製品により異なる。各ガスが堆積チャンバ200に入る前の、1つまたはそれ以上の反応ガス同士の相互作用を最小限にするために、反応ガスのパルス同士の間に、継手104(a)〜(d)から入る不活性ガスを、ALD装置100の中を周期的に循環させるか、または連続して流す。このようにして、不活性ガスが、堆積チャンバ200をパージする。以下に説明するように、種々の反応ガスと不活性ガスとは、開口部120から装入されるウエハに堆積が行われるように、ALD装置100の中を規則正しく循環する。
図3は、図1AのALD装置100の堆積チャンバ200およびガス分配装置202の斜視図である。ガス分配装置202は、複数のガス管、混合器組立体304、移送管310、および吸気プレナムまたはマニホールド312を備える。堆積チャンバ200は、カバープレート314、ベースプレート206、および排気除去器316を含む。ガス分配装置202は、吸気プレナム312において堆積チャンバ200に連結される。
図4において最もよく分かるように、この例では、複数のガス管が、4つの反応ガス管300、303、309、315と、8つの緩衝管301、302、305、307、311、313、317、および319とを含む。各反応ガス管は、緩衝管の2つと結合される。反応ガス管300は、緩衝管301、302と結合される。反応ガス管303は、緩衝管305、307と結合される。反応ガス管307は、緩衝管311、313と結合される。反応ガス管315は、緩衝管317、319と結合される。ガス分配装置202は、ALD装置100の構成により異なるもっと多くのまたは少ない反応ガス管と緩衝管とを含み得る。さらに、各反応ガス管は、2つの緩衝管に結合してもよいし、またはしなくてもよい。例えば、複数の反応ガス管の1つまたはそれ以上の管を、別の反応ガス管を結合せずに緩衝管に結合し得る。緩衝管に結合されていない反応ガス管は、他の手段により遮断し得る。
各反応ガス管は、ガス分配装置202内に4つの継手を含む。反応ガス管300は、継手300(a)、300(b)、300(c)、および300(d)を備える。反応ガス管303は、継手303(a)、303(b)、303(c)、および303(d)を備える。反応ガス管309は、継手309(a)、309(b)、309(c)、および309(d)を備える。反応ガス管315は、継手315(a)、315(b)、315(c)、および315(d)を備える。各反応ガス管用の継手を後述する。
継手300(a)は、反応ガス管300を継手102(b)と結合し、当該継手102(b)は、反応物質源(図1Bを参照)に通じる。継手300(b)は、反応ガス管300を緩衝管302と結合する。継手300(c)は、反応ガス管300を緩衝管301と結合する。継手300(d)は、反応ガス管300を混合器組立体304と結合する。
継手303(a)は、反応ガス管303を継手104(b)と結合し、当該継手104(b)は、別の反応物質源と通じる(図1B)。継手303(b)は、反応ガス管303を緩衝管307と結合する。継手303(c)は、反応ガス管303を緩衝管305と結合する。継手303(d)は、反応ガス管303を混合器組立体304と結合する。
継手309(a)は、反応ガス管309を継手104(c)と結合し、当該継手104(c)は、別の反応物質源と通じる(図1Bを参照)。継手309(b)は、反応ガス管309を緩衝管313と結合する。継手309(c)は、反応ガス管309を緩衝管311と結合する。継手309(d)は、反応ガス管309を混合器組立体304と結合する。
継手315(a)は、反応ガス管315を継手源102(a)と結合し、当該継手源102(a)は、さらに別の反応物質源と通じる(図1Bを参照)。継手315(b)は、反応ガス管315を緩衝管319と結合する。継手315(c)は、反応ガス管315を緩衝管317と結合する。継手315(d)は、反応ガス管315を混合器組立体304と結合する。
緩衝管301、302、305、307、311、313、317、および319は、継手301(a)、302(a)、305(a)、307(a)、311(a)、313(a)、317(a)、および319(a)をそれぞれ含む。
図3および図4に示す実施形態では、各継手301(a)、305(a)、311(a)、および317(a)は、ガス分配装置202内への流路を提供する。継手301(a)は、緩衝管301を継手104(a)と結合する(図1Bを参照)。継手305(a)は、緩衝管305を継手104(b)と結合する(図1Bを参照)。継手311(a)は、緩衝管311を継手104(c)と結合する(図1Bを参照)。継手317(a)は、緩衝管317を継手104(d)と結合する(図1Bを参照)。
各継手302(a)、307(a)、313(a)、および319(a)は、ガス分配装置202と排気除去器316との間の、連結部320(a)〜(d)を介した流路を提供する。連結部320(a)は、継手302(a)を排気除去器316と連結する。連結部320(b)は、継手307(a)を排気除去器316と連結する。連結部320(c)は、継手313(a)を排気除去器316と連結する。連結部320(d)は、継手319(a)を排気除去器316と連結する。これらの連結は、不活性ガス弁調節(IGV)の操作に役立つ。
図3に示す実施形態では、反応ガス管300、303、309、および315は、反応ガスを混合器組立体304に送る。緩衝管301、305、311、および317は、不活性ガスを混合器組立体304に送る。その結果生じる混合物(一度に1つの反応物質が不活性ガスと反応する)が、移送管310を通って、吸気プレナム312に流れる。吸気プレナム312は、移送管310の中の流路に対して横断方向に混合物を分配する。混合物は、吸気プレナム312を出てカバープレート314を通って堆積チャンバ200内に入る。図2および図3に示すように、カバープレート314は、ベースプレート206に隣接しており、2枚のプレートが、それらの間に、ウエハ支持部204に配置された基板またはウエハ上方に混合物を流す流路を形成する。ベースプレート206およびカバープレート314の外周は、実質的に長方形である。
混合物パルスが、堆積チャンバ200を横切っている間に、基板の面を飽和させる。流れる混合物と、その前のパルスにより引き起こされて残った基板の面との間に吸着または反応が、起こる。混合物は、堆積チャンバ200を通過した後、排気除去器316に向かって流れる。排気除去器316は、混合物がウエハを飽和させた後、過剰の混合物およびどんな副生成物も集めるように構成される。一実施形態では、排気除去器316内の領域の圧力は、堆積チャンバ200内の圧力よりも低い。負の圧力源または真空部は、排気除去器316および/またはガス出口106と流体連通して、堆積チャンバ200から混合物を引き出し得る。排気除去器316は、ガス出口106と流体連通している。集められた混合物は、ガス出口106から堆積チャンバ200を出る。
なお図3を参照すると、混合器組立体304は、上流部材306および下流部材308を含む。上流部材306は、反応ガス管および緩衝管と流体連通している。上流部材306は、混合物が下流部材308に入るよりも前に、反応ガスを不活性ガスと混合するように構成される。下流部材308は、上流部材306と移送管310との間において混合物をじょうご状に通す。下流部材308は、一般に、混合物の流路の断面積を連続して狭くすることにより、混合物が下流部材308内を再循環する傾向を最小限にするように、構成される。
図4は、緩衝管に結合された反応ガス管と、混合器組立体の上流部材306との上平面図である。継手300(c)と継手300(b)との間の反応ガス管300内に、緩衝領域400(a)が形成される。継手303(c)と継手303(b)との間の反応ガス管303内に、緩衝領域400(b)が形成される。継手309(c)と継手309(b)との間の反応ガス管309内に、緩衝領域400(c)が形成される。継手315(c)と継手315(b)との間の反応ガス管315内に、緩衝領域400(d)が形成される。緩衝管301、305、311、および317は、ガス分配装置202内への流路を形成しており、継手300(b)、303(b)、309(b)、および315(b)の下流の、関連づけられるガス管に結合している。このように、継手301(a)、305(a)、311(a)、および317(a)から入ってくるガスは、反応ガス管と、緩衝管302、307、311、および319との継手の下流の、反応ガス管300、303、309、315に入る。固定されたオリフィスを、継手302(a)、307(a)、313(a)および319(a)に配置してもよい。
図3において分かるように、継手302(a)、307(a)、313(a)および319(a)は、排気除去器316と連通している。オリフィスは、ガスが排気除去器316に流れにくくなり堆積チャンバ200を迂回しにくくなる通路を作り出す。このように、反応ガスのパルス中に、継手300(a)、303(a)、309(a)または315(a)から入る反応ガスの少量が、堆積チャンバを迂回して、排気除去器316に直接流れる。オリフィスにより生じる制限によって、反応物質の分流量が制限される。パージステップ中、継手301(a)、305(a)、311(a)、および317(a)から入る少なくとも不活性ガスの一部分が、継手300(b)、303(b)、309(b)、および315(b)に向かう逆向きの流れを生じて、緩衝領域400(a)〜(d)が、反応ガス管内に形成される。緩衝領域は、パージステップ中に、または他の反応ガス管の1つから混合器組立体304内へ反応物質からなる反応物質が流れている間に、反応ガスがリアクタ内に拡散することを妨げる。
例えば、ALD処理ステップ中、反応ガスは、反応ガス管300を通って混合器組立体の上流部材306に流れる。この反応ガスの少量は、緩衝管302から継手302(a)を通って排気除去器316内に分流される。緩衝管に分流されるガスの量は、継手302(a)に固定されたオリフィスの大きさにより異なる。固定されたオリフィスの大きさは、ガスをある程度排気除去器316に分流するように変更し得る。残りの反応ガスは、緩衝領域400(a)を通って継手300(c)に流れる。
反応ガスを上流部材306内に押し込むために、不活性ガスを、継手301(a)から導入してもよいし導入しなくてもよい。不活性ガスを継手301(a)から導入した場合、不活性ガスは、継手300(c)において反応ガスと合流し、上流部材306に流れる。パルスステップの後、反応ガスが、ガス管からパージされる。ガス管のパージは、例えば、残りのどんな反応ガスも上流部材306内に拡散させないように、継手300(a)からの反応ガスの流れを遮断することによりかつ/または不活性ガスを使用することにより、行い得る。遮断弁を、被加熱領域外に配置し、反応ガスの流れを遮断するために使用し得る。不活性ガス弁調節(IGV)プロセスにおいて継手301(a)から不活性ガスを導入し得る。2001年12月27日公開の米国特許出願公開第2001/0054377号明細書にその全体が説明されており、その開示は、参照により本明細書に組み込まれる。
不活性ガスの流れの第1の部分は、緩衝領域400(a)に入り、継手300(b)の上流をまたは継手300(b)に向かって逆向きに流れる。ガスの流れの第2の部分は、上流部材306の下流を上流部材306に向かって流れる。第1の部分は、緩衝領域400(a)の端部において反応ガス管300を出て、緩衝管302に入る。第1の部分が緩衝領域400(a)を流れている間に、継手300(a)の上流の遮断弁と継手300(b)との間の残りの反応ガスが、上流部材306に流れるまたは拡散することが、妨げられ、(離れた)物理的な弁は、高温により摩耗しない。第1の部分は、緩衝部または拡散障壁(または不活性ガス弁)を形成し、当該緩衝部または拡散障壁によって、反応ガスが、反応ガス管300を通って混合器組立体304に流れることが妨げられる。ALD制御システムは、継手300(a)の上流の遮断弁を周期作動させることによって、不活性ガスを緩衝管301内に流すか流さないかを制御し得る。このように、ALD制御システムは、継手300(a)から反応ガス管300に入る反応ガスの、上流部材306への到達是非を迅速に制御し得る。さらに、パージステップ、および他の反応ガスの次のパルスの間に、継手300(a)の上流の遮断弁と、継手300(b)との間に位置する「デッドスペース」内の反応ガスが、上流部材306内に拡散することが妨げられ得る。これは、異なる反応ガスが、隔離されたままであり、気相においては反応せず、基板の面においてしか反応しないことから、ALDに好ましい場合がある。
継手303(a)からガス分配装置202に入る反応ガスの、上流部材306への到達は、ガスを、緩衝管305から反応ガス管303内に継手303(c)から流し、かつ継手303(a)の上流の遮断弁を使用することにより、同様に制御される。継手303(c)から反応ガス管に入るガスの第1の部分は、緩衝部400(b)を形成する。このように、ガスの第1の部分は、反応ガス管303から入る反応ガスが、上流部材306に入ることを妨げる。継手303(c)において反応ガス管に入るガスの第2の部分は、緩衝領域400(b)から流出し、上流部材306に向かって流れる。
継手309(a)からガス分配装置202に入る反応ガスの、上流部材306への到達は、ガスを、緩衝管311から反応ガス管309内に継手309(c)から流し、かつ継手309(a)の上流の遮断弁を使用することにより、同様に制御される。継手309(c)から反応ガス管に入るガスの第1の部分は、緩衝部400(c)を形成する。このように、ガスの第1の部分は、反応ガス管309から入る反応ガスが、上流部材306に入ることを妨げる。継手309(c)から反応ガス管に入るガスの第2の部分は、緩衝領域400(c)から流出し、上流部材306に向かって流れる。
継手315(a)からガス分配装置202に入る反応ガスの、上流部材306への到達は、ガスを、緩衝管317から反応ガス管315内に継手315(c)から流し、かつ継手315(a)の上流の遮断弁を使用することにより、同様に制御される。継手315(c)から反応ガス管に入るガスの第1の部分は、緩衝部400(d)を形成する。このように、ガスの第1の部分は、反応ガス管315から入る反応ガスが、上流部材306に入ることを妨げる。継手315(c)から反応ガス管に入るガスの第2の部分は、緩衝領域400(d)から流出し、上流部材306に向かって流れる。
上述のように、緩衝管301、305、311、および317からガス分配装置202に入り、緩衝領域400(a)〜(d)を形成するガスの第1の部分は、緩衝管302、307、313、および319から出て行く。緩衝管302、307、313、および319から出て行くガスは、堆積チャンバ200を通過せずに排気除去器316に入る。このように、不活性ガスの第1の部分は、堆積チャンバ200を迂回し、堆積チャンバ200の下流の排気除去器316により集められる。
上述のように、緩衝管301、305、311、および317からガス分配装置202に入るガス、および緩衝領域400(a)〜(d)から流出するガスからなる第2の部分は、混合器組立体304に入る。反応物質パルス中に、第2の部分は、他の反応ガス管からの1つまたはそれ以上の反応ガスと混合され、混合器組立体304に到達する。このように、第2の部分は、堆積チャンバ200の中を流れる。現在のALD処理ステップにより異なるが、ガスは、各緩衝管301、305、311、および317の中を周期的に流れ得る。
ALD制御システムにより堆積チャンバ200への到達を要求された反応ガスは、各反応ガス管を通って混合器組立体304内に流れる。ALD制御システムは、ALD制御システムにより堆積チャンバ200への到達を要求されなかった反応ガスと関連づけられる反応ガス管内に、緩衝領域400を形成する。緩衝領域400のない反応ガス管の中を流れる反応ガスは、その他の反応ガス管を通って混合器組立体304内に同時に流れる1つまたはそれ以上の不活性ガスの第2の部分と、混合される。上に説明したように、これらのガスの第1の部分は、その他の反応ガス管内に緩衝領域を形成し、堆積チャンバ200を迂回する。
4つの反応ガス管を含むALD装置100の一実施形態では、各反応ガスは、交互に混合器組立体304に到達する。本実施形態では、ALD制御システムにより選択された反応ガスは、不活性または「バッファ」ガス流が残りの3つの反応ガス管内を流れている間に、混合器組立体304内に流れる。本実施形態を続けると、緩衝領域から流出するガスの第2の部分が、混合器組立体304に入る。次に、当該パルスの反応ガスは、混合器組立体304内において第2の部分の不活性ガスと混合される。
ALD装置100のここに示す実施形態の別の態様および特徴は、2004年5月7日付出願の米国特許出願第10/841585号明細書に見出され、その全体が、参照によりここに組み込まれる。
図5は、上述の移送管310、プレナム312、トッププレート314およびボトムプレート206の実施形態の断面図である。特に、この図は、混合器組立体304から堆積チャンバ200までのガス通路を示す。図5に示すように、シム500が、プレナム312とトッププレート314との間に配置され得る。シム500には、一連の小さい注入孔501を設けることができ、当該注入孔501は、堆積チャンバ200に均一な流れを供給するのに十分な背圧をプレナム312内に生成するように設けられる。しかしながら、図5に示すように、この設計によって、堆積チャンバ200と移送管310との間に多数の再循環セル502が作り出されることになる。これらの再循環セル502内に、続いて起こるパルスによる反応物質が集まる。この結果、堆積チャンバ200内においてCVD堆積が起こり得る。このようなCVD堆積は、一般に望ましくなく、堆積チャンバ200内に粒子が付着することになる場合がある。さらに、シム500によって、ガス流が急に圧縮され次に膨張し得る。これによって、ガスの温度が急に下がる結果、ガス流中の前駆物質の凝縮が起こり得る。
図6〜図9Aは、移送部材510およびトップ(カバー)プレート514の実施形態を示す。本実施形態では、ガス流の圧縮および膨張を円滑に行うことにより、ガス通路内の再循環セルを減らすかまたは除去する必要がある。図6および図7は、それぞれ、移送部材510およびトッププレート514の、上斜視図および平面図である。図8は、移送部材510を取り外したトッププレート514の上平面図である。図9は、図7の9−9線に沿った断面図であり、図9Aは、図9の一部分の拡大図である。
ここに示すように、移送部材510は、概ね三角形の流路を形成し、当該流路は、混合器304からガスを徐々に膨張させ得る。図8〜図9において最もよく分かるように、ここに示した実施形態における移送部材510は、混合器304に概ね隣接する第1の部分518と、トッププレート514の開口部522に概ね隣接する第2の部分520と、を含む。図7および図8に示すように、第1の部分518は、水平方向に末広形の一対の壁519を含み、当該壁519は、角度Aで水平方向に拡大し、また、第2の部分520は、水平方向に末広形の一対の壁521を含み、当該壁521は、角度Bで水平方向に拡大する。一実施形態では、角度Bは、角度Aより大きい。一実施形態では、Aは、約5度から45度までであり、Bは、約30度から75度である。ここに示す実施形態では、水平方向に末広形の壁は、実質的にまっすぐである。しかしながら、変更された実施形態では、水平方向に末広形の壁は、湾曲させ、円弧状にし、また連続して変化させかつ/または弓形(segmented)にしてよい。このような実施形態では、末広形の壁の末広の角度は、上述の範囲内の平均または中間であり得る。
図9に示すように、移送部材510は、上壁523を含み、当該上壁523は、部分的に、ガス流路511の高さを定め、ガス流路511の高さは、壁519と、壁521と、上壁523と、トッププレート514の上面525とにより、定められる。一実施形態では、第1の部分518では、ガス流路511の高さh1は、実質的に一定であることが好ましい。第2の部分520では、ガス流路511の高さh2は、ガス流の方向に徐々に低くなっていく。こうして、開口部522に隣接した第2の部分520の容積は、図5のプレナム312と比較して、減少し得る。さらに、ガス流の膨張が円滑に行われ、チャンバの幅にわたってガス流が広がる助けとなる背圧が増すように、ガス通路の高さは、移送部材510が水平方向に拡大するにつれて低くなる。ここに示す実施形態では、流路211により画定されるガス通路は、堆積チャンバ200内のガス通路と概ね平行でありその反対側にある(例えば、図11を参照)。
ここに示す実施形態の別の利点は、ガス流路511が、移送部材510と、トッププレート514の上面525との間に形成されることである。この「クラムシェル」形の構成によって、例えば、管に比べて、移送部材511の洗浄・修理がしやすくなる。特に、トッププレート514からの取り外しを行うと、大きな開口が生じ、当該開口が、移送部材511の内側の面に露出して、洗浄・修理が容易になる。
ここで図8、図9および図9Aを参照すると、移送部材510からガスを受け入れる開口部522が、トッププレート514に設けられる。一実施形態では、開口部522の断面積は、第2の部分520の端の(ガス流に対する)断面積と実質的に等しい。こうして、移送部材510からトッププレート514内へのガスの円滑な流れが、促進される。開口部522の形状は、概ね長い長方形であり得る。図8を参照。
図9Aに示すように、トッププレート514は、開口部522から、徐々に縮小する部分524を含み、当該部分524は、幅が狭くなった領域526に連結されている。縮小部分524は、テーパーまたは傾斜のついた壁525を含み、当該壁525は、徐々にガス流の断面積を狭める。ここに示す実施形態では、幅が狭くなった領域526は、実質的に一定の断面積の概ね長方形のスリットを含み、当該スリットは、トッププレート514を下へ概ね垂直方向に延びる。狭くなった領域526は、混合器304と堆積チャンバ200との間の(ガス流に関して)断面積が最も狭い、ガス流の部分である。狭くなった領域526は、特に堆積チャンバ200の幅w(図8を参照)に沿って均一な流れを供給するのに十分な背圧を生成するように構成される。狭くなった領域526の端は、拡大部分528と連通している。拡大部分528は、緩やかなまたはテーパーのついた壁529を含み、当該壁は、ガスが堆積チャンバ200に入るにつれて徐々に膨張するように、ガス流の断面積を大きくするように形成される。拡大部分528の流出口530は、堆積チャンバ200と連通している。
狭くなった領域526は、図5に関して記載したシム500の個々の孔(実質的に二次元の通路)と比較して、堆積チャンバ200を垂直および水平方向に長く延びる(三次元の通路である)(図8を参照)ことが好ましい。例えば、個々の孔と比較すると、x平面(すなわち孔同士の間)、z方向(すなわち孔の下)における再循環セルおよびデッドスペースは、排除されるかまたは減少する。移送部材510、プレナム512およびトッププレート514をこの構成にすることによって、混合器304からガスが取り出され、堆積チャンバ200の一部分に広がることが好ましい。次に、ガス流は、堆積チャンバ200内に流入するにつれて、180度回転させられる。
堆積チャンバ200内に、利用されない容積および/または再循環セルも形成される場合がある。例えば、図10は、図1〜図4の堆積チャンバ200の基板Sおよびサセプタプレート204の概略図である。ここに示すように、基板Sとサセプタプレート204との間に間隙g2が存在し、またサセプタプレート204とベースプレート206との間に間隙g1が存在する。ある場合には、これらの間隙g1、g2は、パージすることが困難であり、再循環セルを含み、かつ/または利用されない容積である場合がある。
図11は、図7の9−9線と同様の線に沿った、ボトムプレート600およびサセプタ602の変形実施形態の部分断面図である。図12は、ボトムプレート600およびサセプタ602の部分斜視図である。ここに図示したように、本実施形態では、ベースプレート600は、厚さtの密閉部604を有する。密閉部604の下面605は、サセプタ602を密閉して、反応チャンバが密閉される。一実施形態では、密閉部604の端部606は、サセプタ602に配置される基板の厚さと概ね等しい厚さtである。基板の厚さにより異なるが、密閉部604の厚さは、約0.5から約3ミリメートルの範囲であり得る。このように、ガスがボトムプレート600上方を基板に向かって流れるにつれて、基板の厚さと概ね等しい深さの浅い段状部のみがガスにさらされる。これによって、再循環ゾーンの大きさが小さくなるか、または再循環ゾーンが取り除かれ、堆積チャンバ200のパージが促進される。
ボトムプレート600およびサセプタ602を図11および図12に示す構成にすることの別の利点は、ボトムプレート600とサセプタ602との間の密閉または接触面が、図10の構成と比較して高くなることである。例えば、ここに示す実施形態では、密閉部604の下面605と基板とは、実質的に同じ垂直方向の高さに配置される。一実施形態では、下面605と基板との間の高さの相違は、約0から約2ミリメートルである。この構成にすることによって、基板とボトムプレート604との間の利用されない空間の容積が減少し、堆積チャンバ200内の再循環セルの形成が妨げられるかまたは制限されることが好ましい。
図13および図14に、サセプタ602をさらに詳細に示す。図13は、サセプタ602の上斜視図であり、当該サセプタ602は、図11および図12に示した向きに対して180度回転している。図14は、基板を配置したサセプタ602の断面図である。
本実施形態では、サセプタ602は、基板Sが堆積チャンバ200に対して中心をずらして配置され得るように構成されている。このように、基板と、サセプタ602とボトムプレート600との間の界面との間の間隙g3は、基板Sの(ガスの流れに対して)前の縁からさらに遠くにずらし得る。一般に、基板の前縁は、基板の後縁に対して、堆積チャンバ200内への流入口の近傍に配置され、当該後縁は、堆積チャンバ200の流出(排気)口の近傍に配置される。
別の実施形態では、基板を、サセプタの中心に配置(実質的に中心に配置)し得る。このような実施形態では、サセプタ602とボトムプレート600との間の界面と、基板の縁との間の距離を長くするように、サセプタを大きくし得る。一実施形態では、サセプタ602の直径は、基板の直径よりも少なくとも約10%大きい。別の実施形態では、サセプタのこの直径は、基板の直径よりも少なくとも約25%大きい。別の実施形態では、サセプタの直径は、基板の直径より約10%〜約25%大きい。このような実施形態ではまた、基板の前縁と、サセプタと密閉面との間の界面との間の空間を広げ得る。上述の大きいサセプタはまた、単独で使用することもできるし、または基板の前縁と、サセプタと密閉面との間の界面との間の空間がさらに大きくなるように、この段落において説明した中心をずらす特徴と組み合わせて用いることもできる。
幅および/またはサイズが同じサセプタの、基板の前縁と、サセプタ602とボトムプレート600との間の界面との間の間隙g3を広げ得ることが好ましい。このように、サセプタ602とボトムプレート600との間の切れ目により作り出されるどんな再循環セルも、基板Sの前縁からさらに遠くにずれる。よって、一実施形態では、サセプタ602に配置される基板の中心は、サセプタ602とボトムプレート600との間の界面または密閉部に対して非対称的にかつ/または中心をずらして配置される。変形実施形態では、サセプタは、サセプタ602とボトムプレート600との間の切れ目から基板の前縁をさらに遠ざけるように、非円形または非対称的な形状にし得る。
図11に示すように、サセプタ602は、複数のピン609を含むことができ、当該ピン609は、サセプタ上の基板の動きを抑制または制限するようにサセプタ602の上面から延びる。ピン609は、基板の動きを抑制または制限するために使用する場合がある肩部または畝状部(例えば、図10において間隙g2を作り出す肩部を参照)と置き換え得る。このような肩部または畝状部は、再循環および/または利用されないゾーンを作り出す場合があるので、好ましくない。よって、一実施形態では、密閉面とサセプタとの間の界面との間のサセプタ上面領域は、実質的に平坦で、このような肩部または畝状部を含まない。このような構成にすることによって、再循環および/または利用されないゾーンが取り除かれ得る。
図13を引き続き参照し、図14を参照すると、サセプタは、凹所領域610を含むことができ、当該凹所領域610は、基板がその縁にのみ接触する(または実質的に接触する)ように、形成される(図14を参照)。この実施形態は、ウエハが湾曲しかつ/またはサセプタが半球状になることが問題化しにくくするのに役立つ。特に、ウエハが湾曲しかつ/または半球状になることによって、基板の縁とサセプタとの間に隙間が生じる場合がある。ガスは、この隙間に閉じ込められて、パージが不十分になり、裏面堆積が起こる恐れがある。図14に示すようにその縁に沿って基板を接触させることによって、ウエハが湾曲しかつ/または半球状になることにより基板Sの縁とサセプタ602との間に隙間が生じなくなる。これによって、基板とサセプタとの間にガスが閉じ込められなくなるか、または閉じ込められにくくなる。一実施形態では、凹所領域610の深さは、約0.2から0.5ミリメートルである。別の実施形態では、基板Sとサセプタ602とは、連続したまたは実質的に連続した密閉部が基板Sの縁に沿って形成されるように形成される。
引き続き図13を参照すると、凹所610を、サセプタ602と基板との間の密閉部も概ね円形になるように概ね円形にし得る。さらに、ここに示すように、凹所610の中心cを、概ね円形のサセプタ602の外側の縁に対して「中心をずらして」配置し得る。このように、基板の(ガスの流れに対して)前の縁を、上述のように後縁と比較して、ボトムプレート600の密閉部604から間隔を置いて配置し得る。これによって、ウエハが、ウエハの前の再循環セルからさらに間隔を置いて配置され得る。クロスフローリアクタ内においてウエハ全体の上方にガスが流されるので、サセプタとベースプレートとの間の後方密閉部の再循環セルは、堆積の均一性にそれ程影響を与えない。
図15は、縁と接触するリフトピン620の実施形態の部分断面図を示し、当該リフトピン620は、上述のサセプタ602と組み合わせて使用し得る。ここに示すように、ピン620は、ピンヘッド622を含むことができ、当該ピンヘッド622は、基板Sを固定するためのノッチ624または面取りされた縁を含む。ピンヘッド622は、基板の縁と接触するように形成され、概ねサセプタ602と凹所領域610との間の界面にある。ピンヘッド622は、ピンシャフト626に結合可能であり、当該ピンシャフト626は、サセプタの開口部628を貫通する。
ピン620は、サセプタ602が堆積チャンバ200内に持ち上げられるとき、ピンヘッド622がサセプタ602に形成された凹所領域630内に配置されるように、形成され得る。サセプタが下降させられると、ピンヘッド622は、サセプタ602に相対的に持ち上がり得る。例えば、代理人整理番号ASMEX.532Aであり、2006年1月 日付出願で同時係属中の米国特許出願第 号明細書(その全体が参照により本明細書に組み込まれる)に記載のように、一実施形態では、ピン620が凹所630内に着座する「下降」位置から持ち上がるように、基板は、リフト機構により下向きに移動させられる。このように下向きに移動させることによって、支持ピン620の底面が、サセプタ602の下に位置するコネクタ(図示せず)と接触する。ピン620がコネクタと接触することによって、シャフト626の下部を囲むばね(図示せず)が圧縮される。サセプタ602が下向きに移動させられている間ばねが圧縮されるにつれて、ばねは、サセプタ620が次に持ち上げられたときにピン620を相対的に「下降」させやすくなる復元力を得る。したがって、コネクタによりピンを下向きに移動させるために、ばねとプラットフォームまたは「フロア」とを組み合わせることによって、堆積チャンバ200に対してピンを固定する必要なしに、サセプタ602が上下動している間に、ピンを相対的に固定したままにし得る。
本発明をある好ましい実施形態および例に関して開示してきたが、本発明が、特に開示した実施形態を超えて、他の代替実施形態、および/または本発明、明確な変更およびその均等物の利用にまで及ぶことが、当業者には理解されるだろう。さらに、本発明のいくつかの変形を示し詳細に説明してきたが、それらは、本発明の範囲内であって、この開示に基づいて当業者に容易に理解されるだろう。実施形態の特定の特徴および態様を、本発明の範囲内において、種々の組み合わせまたは組み合わせの構成要素にすることができることも、理解される。したがって、ここに開示した発明のモードを変更するために、ここに開示した実施形態の種々の特徴および態様を、相互に組み合わせ得るかまたは置き換え得ることを理解すべきである。よって、ここに開示した本発明の範囲は、ここに開示した上述の特定の実施形態により限定されないが、以下の特許請求の範囲を公平に読むことによってのみ定められるものと解釈される。
原子層堆積(ALD)装置の正面、上面および左側面からの斜視図である。 図1AのALD装置の底面、背面および左側面からの斜視図である。 図1のALD装置を2−2線に沿って切った切取斜視図である。 図1AのALD装置内のガス分配装置(図2では一部分が見える)の斜視図である。 各反応ガス管のバッファ領域を示す図3のガス分配装置の混合器組立体の上流の部材に結合された反応ガス管の平面図である。 図1AのALD装置のガス分配装置およびリアクタチャンバの一部分の概略断面図である。 ALD装置内の反応チャンバのトッププレートに結合されたガス分配装置の変形実施形態の一部分の斜視図である。 図6のガス分配装置の平面図である。 ガス分配装置を取り外した図6のトッププレートの平面図である。 図7の9−9線に沿った断面図である。 図9の一部分の拡大図である。 図1のALD装置内のリアクタのサセプタ、基板およびボトムプレートの概略図である。 図9と同様だがALD装置のサセプタおよびボトムプレートも図示した断面図である。 図11のサセプタおよびボトムプレートの部分上斜視図である。 図11のサセプタを180度回転させた上斜視図である。 サセプタに配置された基板も示す、図13の14−14線に沿った断面図である。 リフトピンとサセプタとを配置した実施形態の縁部分を示す概略断面図である。

Claims (32)

  1. 堆積チャンバ内に画定された空間内において載置されたウエハに薄膜を堆積させるように構成され、前記空間内と連通するガス流入口を含む、前記堆積チャンバと、
    前記堆積チャンバのガス流入口にガスを送出するように構成され、前記堆積チャンバの上方に少なくともその一部分が配置されるガスシステムと、
    を備え、当該ガスシステムは、
    複数のガスの流れを混合するように構成された混合器と、
    前記混合器と前記ガス流入口とに流体連通し、前記ガス流入口に入る前にガスを水平方向に拡散させるように形成された水平方向に末広形の一対の壁を含む移送部材と、
    を備える原子層堆積(ALD)式薄膜堆積装置。
  2. 前記移送部材は、第1の部分と、下流の第2の部分と、を含み、前記第1の部分は、第1の角度で末広形になった水平方向に末広形の一対の壁を含み、前記第2の部分は、前記第1の角度よりも大きい第2の角度で末広形になった、水平方向に末広形の一対の壁を含む請求項1に記載のALD式薄膜堆積装置。
  3. 前記水平方向に末広形の壁は、実質的にまっすぐである請求項1に記載のALD式薄膜堆積装置。
  4. 前記水平方向に末広形の壁は、湾曲している請求項1に記載のALD式薄膜堆積装置。
  5. 前記移送部材により画定される前記ガス通路の第1の部分の高さは、実質的に一定である請求項2に記載のALD式薄膜堆積装置。
  6. 前記移送部材により画定される前記ガス通路の第2の部分の高さは、ガスの流れる方向に低くなる請求項2に記載のALD式薄膜堆積装置。
  7. 前記移送部材により画定される前記ガス通路の第1の部分の高さは、実質的に一定である請求項6に記載のALD式薄膜堆積装置。
  8. 前記移送部材を通る流路は、ウエハに実質的に平行である請求項7に記載のALD式薄膜堆積装置。
  9. 移送部材および前記混合器は、前記堆積チャンバの上方に配置される請求項1に記載のALD式薄膜堆積装置。
  10. 前記移送部材を通る流路は、ウエハに実質的に平行である請求項1に記載のALD式薄膜堆積装置。
  11. 前記堆積チャンバ内の空間を通る流路は、前記移送部材を通る流路に実質的に平行でありかつそれと反対側にある請求項10に記載のALD式薄膜堆積装置。
  12. 前記堆積チャンバの前記ガス流入口は、前記移送部材と連通する縮小部分と、前記堆積チャンバ内の空間と連通する拡大部分と、前記縮小部分と拡大部分との間に位置する幅が狭くなった部分と、を含み、前記縮小部分は、前記プレナムから前記幅が狭くなった部分の方へ前記ガス通路の断面積が狭くなるように形成され、前記拡大部分は、前記幅が狭くなった部分から前記堆積チャンバ内の空間の方へ前記ガス通路の断面積が広くなるように形成される請求項1に記載のALD式薄膜堆積装置。
  13. 前記混合器と前記堆積部との間の前記ガス通路の断面積は、前記幅が狭くなった部分において最も狭くなる請求項12に記載のALD式薄膜堆積装置。
  14. 前記堆積チャンバのガス流入口は、前記堆積チャンバ内の空間を通る流路が前記移送部材を通る流路に実質的に平行でありかつその反対側に存在するように、形成される請求項12に記載のALD式薄膜堆積装置。
  15. 前記堆積チャンバは、トッププレートと、ボトムプレートと、鉛直方向に移動して前記ボトムプレートに対して密閉を行うサセプタとを含み、また、前記ガス流入口は、前記トッププレートに形成される請求項14に記載のALD式薄膜堆積装置。
  16. 前記堆積チャンバは、トッププレートと、ボトムプレートと、サセプタとを含み、当該サセプタは、第1の部分において前記ボトムプレートの下面に対して密閉を行うように前記ボトムプレートに対して鉛直方向に移動する構成となっている請求項1に記載のALD式薄膜堆積装置。
  17. 堆積チャンバ内に画定された空間内に載置されたウエハ上に薄膜を堆積させるように構成され、前記空間と連通するガス流入口と、さらに、密閉面を含む密閉部とを備える、前記堆積チャンバと、
    前記ウエハを前記空間内において支持するように形成されたサセプタであって、前記サセプタが前記密閉面に対して密閉を行う第1の位置と、前記サセプタが前記密閉面に対して密閉を行わなくなる下方の第2の位置との間を、前記堆積チャンバに対して鉛直方向に移動するように形成された前記サセプタと、
    を備え、
    前記第1の位置において、前記密閉面と前記サセプタとの間の界面と、前記サセプタ上に配置された前記ウエハとの間の垂直方向の距離が、約2ミリメートル未満である原子層堆積(ALD)式薄膜堆積装置。
  18. 前記密閉面とサセプタとの間の界面と、前記サセプタ上に配置された前記ウエハとは、垂直方向において実質的に同じ高さに配置される請求項17に記載のALD式薄膜堆積装置。
  19. 前記密閉面と前記サセプタとの間の界面との間の前記サセプタの上面領域は、実質的に平坦である請求項17に記載のALD式薄膜堆積装置。
  20. 前記密閉部の端の厚さは、約0.5から約3ミリメートルである請求項17に記載のALD式薄膜堆積装置。
  21. 前記堆積チャンバは、トッププレートと、ボトムプレートとを備え、前記ボトムプレートは、少なくとも部分的に、前記密閉部を形成し、また、前記トッププレートは、少なくとも部分的に、前記ガス流入口を形成する請求項17に記載のALD式薄膜堆積装置。
  22. 前記サセプタは、前記ウエハが前記サセプタ上に配置されたとき、前記ウエハの、ガスの流れに対して前の縁が、前記ウエハの後縁と比較して、前記密閉面から、より遠くに配置されるように、形成される請求項17に記載のALD式薄膜堆積装置。
  23. 前記サセプタの直径は、前記ウエハの直径より約10%〜約25%大きい請求項17に記載のALD式薄膜堆積装置。
  24. 半導体基板を処理するための基板支持部であって、当該基板支持部は、凹所を有する上面を含み、前記凹所は、前記基板支持部の上面が、前記基板の縁部分に沿ってのみ前記基板に接触するように形成される基板支持部。
  25. 前記凹所の深さは、約0.2から約0.5ミリメートルである請求項22に記載の基板支持部。
  26. 前記凹所は、概ね円形である請求項22に記載の基板支持部。
  27. 前記概ね円形の凹所の中心は、前記支持部の外側の縁に対してずらして配置される請求項24に記載の基板支持部。
  28. 前記支持部の上面と、前記基板とは、概ね円形の密閉部を形成する請求項22に記載の基板支持部。
  29. 前記概ね円形の密閉部の中心は、前記支持部の外側の縁に対してずらして配置される請求項28に記載の基板支持部。
  30. 前記サセプタの縁と前記凹所との間の前記サセプタの上面の領域は、実質的に平坦である請求項22に記載の基板支持部。
  31. 前記上面の領域は、少なくとも1つのピンを含む請求項30に記載の基板支持部。
  32. 堆積チャンバ内に画定された空間内に載置されたウエハに薄膜を堆積させるように形成され、前記空間と連通するガス流入口と、さらに、密閉面を含む密閉部とを備える、前記堆積チャンバと、
    前記ウエハを前記空間内において支持するように形成されたサセプタであって、前記サセプタが前記密閉面に対して密閉を行う第1の位置と、前記サセプタが前記密閉面に対して密閉を行わなくなる下方の第2の位置との間を、前記堆積チャンバに対して鉛直方向に移動するように形成された前記サセプタと、
    を備え、
    前記サセプタは、前記第1の位置において、前記ウエハが前記サセプタに配置されるとき、前記ウエハの、ガスの流れに対して前の縁が、前記ウエハの後の縁と比較して、前記密閉面から、より遠くに配置されるように、形成される原子層堆積(ALD)式薄膜堆積装置。
JP2007551472A 2005-01-18 2006-01-17 薄膜成長用反応装置 Active JP4934595B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US64558105P 2005-01-18 2005-01-18
US60/645,581 2005-01-18
US65683205P 2005-02-24 2005-02-24
US60/656,832 2005-02-24
PCT/US2006/001640 WO2006078666A2 (en) 2005-01-18 2006-01-17 Reaction system for growing a thin film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011265435A Division JP5722753B2 (ja) 2005-01-18 2011-12-05 薄膜成長用反応装置

Publications (3)

Publication Number Publication Date
JP2008527748A true JP2008527748A (ja) 2008-07-24
JP2008527748A5 JP2008527748A5 (ja) 2009-03-26
JP4934595B2 JP4934595B2 (ja) 2012-05-16

Family

ID=73197157

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007551472A Active JP4934595B2 (ja) 2005-01-18 2006-01-17 薄膜成長用反応装置
JP2011265435A Active JP5722753B2 (ja) 2005-01-18 2011-12-05 薄膜成長用反応装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011265435A Active JP5722753B2 (ja) 2005-01-18 2011-12-05 薄膜成長用反応装置

Country Status (6)

Country Link
US (3) US8211230B2 (ja)
EP (1) EP1866465A2 (ja)
JP (2) JP4934595B2 (ja)
KR (2) KR101463581B1 (ja)
TW (1) TWI412063B (ja)
WO (1) WO2006078666A2 (ja)

Cited By (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110131266A (ko) * 2009-04-06 2011-12-06 에이에스엠 아메리카, 인코포레이티드 반도체 프로세싱 리액터 및 그의 컴포넌트들
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
EP1866465A2 (en) 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US20060216415A1 (en) * 2005-03-24 2006-09-28 United Technologies Corporation Vapor aluminide coating gas manifold
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
EP2553143B1 (en) * 2010-03-29 2017-10-04 Koolerheadz Modular gas injection device
US9790594B2 (en) 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9327252B2 (en) * 2013-03-15 2016-05-03 Applied Materials, Inc. Compact device for enhancing the mixing of gaseous species
CN107557758A (zh) * 2013-05-01 2018-01-09 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR20150145509A (ko) 2014-06-20 2015-12-30 한국전자통신연구원 센서를 이용한 응용 프로그램 전송 장치 및 방법
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
MX2014013233A (es) * 2014-10-30 2016-05-02 Ct Investig Materiales Avanzados Sc Tobera de inyeccion de aerosoles y su metodo de utilizacion para depositar diferentes recubrimientos mediante deposito quimico de vapor asistido por aerosol.
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106607320B (zh) * 2016-12-22 2019-10-01 武汉华星光电技术有限公司 适用于柔性基板的热真空干燥装置
US10752991B2 (en) * 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
JP7125427B2 (ja) * 2017-05-31 2022-08-24 アプライド マテリアルズ インコーポレイテッド 遠隔プラズマ酸化チャンバ
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
CN108517488B (zh) * 2018-05-14 2019-07-09 武汉大学 一种合金材料部件表面防腐耐磨复合涂层及其制备方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109306470A (zh) * 2018-09-29 2019-02-05 北京北方华创微电子装备有限公司 用于原子层沉积工艺的进气装置及原子层沉积装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102162260B1 (ko) 2018-10-22 2020-10-06 세메스 주식회사 가이드 핀 및 이를 구비하는 포토 마스크 지지 유닛과 포토 마스크 세정 장치
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129501B (en) * 2019-04-25 2022-03-31 Beneq Oy Gas distribution unit in connection with the ALD reactor
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP2021001361A (ja) * 2019-06-19 2021-01-07 東京エレクトロン株式会社 処理方法及び基板処理システム
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003508932A (ja) * 1999-09-08 2003-03-04 エーエスエム アメリカ インコーポレイテッド 薄膜を成長させる、改良された装置および方法
WO2003030243A1 (en) * 2001-10-02 2003-04-10 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP2004091848A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
WO2004025716A1 (en) * 2002-09-14 2004-03-25 Ips Ltd. Flow-type thin film deposition apparatus and injector assembly therefor
JP2004538374A (ja) * 2001-08-15 2004-12-24 エーエスエム インターナショナル エヌ.ヴェー. 原子層堆積反応装置

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
JPS6055478B2 (ja) * 1982-10-19 1985-12-05 松下電器産業株式会社 気相成長方法
DE3411208A1 (de) * 1984-03-27 1985-10-10 Leybold-Heraeus GmbH, 5000 Köln Haltevorrichtung fuer substrate, insbesondere in vakuum-beschichtungsanlagen
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
JPH0410529A (ja) 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
JPH0590238A (ja) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
US5429498A (en) 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
JPH0718438A (ja) 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
JP3082603B2 (ja) 1994-11-22 2000-08-28 住友金属工業株式会社 ウエハ搬送装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0936049A (ja) 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6053982A (en) 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US5853214A (en) 1995-11-27 1998-12-29 Progressive System Technologies, Inc. Aligner for a substrate carrier
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6245152B1 (en) 1996-07-05 2001-06-12 Super Silicon Crystal Research Institute Corp. Method and apparatus for producing epitaxial wafer
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
AUPO129096A0 (en) * 1996-07-26 1996-08-22 Boc Gases Australia Limited Oxygen dissolver for pipelines or pipe outlets
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5887117A (en) * 1997-01-02 1999-03-23 Sharp Kabushiki Kaisha Flash evaporator
TW464944B (en) * 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP3068075B2 (ja) * 1998-01-17 2000-07-24 ハンベック コーポレイション 化合物半導体製造用水平反応炉
US6264467B1 (en) 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
JP2000315720A (ja) 1999-04-28 2000-11-14 Ibiden Co Ltd セラミックス製の半導体製造用治具
EP1125321B1 (en) * 1999-06-19 2007-08-15 ASM Genitech Korea Ltd. Chemical deposition reactor and method of forming a thin film using the same
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6163015A (en) * 1999-07-21 2000-12-19 Moore Epitaxial, Inc. Substrate support element
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
TW522473B (en) * 2000-12-06 2003-03-01 Angstron Systems Inc Method and apparatus for improved temperature control in atomic layer deposition
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20050000449A1 (en) 2001-12-21 2005-01-06 Masayuki Ishibashi Susceptor for epitaxial growth and epitaxial growth method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
JP4007044B2 (ja) 2002-04-19 2007-11-14 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
JP2004296490A (ja) 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
KR101090895B1 (ko) * 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US6941963B2 (en) * 2003-06-26 2005-09-13 Planar Systems, Inc. High-speed diaphragm valve for atomic layer deposition
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
WO2005124845A1 (ja) * 2004-06-15 2005-12-29 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US7582181B2 (en) * 2004-09-30 2009-09-01 Tokyo Electron Limited Method and system for controlling a velocity field of a supercritical fluid in a processing system
EP1866465A2 (en) * 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US7669932B1 (en) 2006-02-28 2010-03-02 Grammer A.G. Headrest
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7976898B2 (en) * 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
KR200463893Y1 (ko) 2010-07-09 2012-12-03 김철우 전원 및 통신선로의 복합 개폐장치

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003508932A (ja) * 1999-09-08 2003-03-04 エーエスエム アメリカ インコーポレイテッド 薄膜を成長させる、改良された装置および方法
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP2004538374A (ja) * 2001-08-15 2004-12-24 エーエスエム インターナショナル エヌ.ヴェー. 原子層堆積反応装置
WO2003030243A1 (en) * 2001-10-02 2003-04-10 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
JP2004091848A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
WO2004025716A1 (en) * 2002-09-14 2004-03-25 Ips Ltd. Flow-type thin film deposition apparatus and injector assembly therefor

Cited By (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2012522901A (ja) * 2009-04-06 2012-09-27 エイエスエム・アメリカ・インコーポレイテッド 半導体プロセス反応器及びその構成要素
KR101654958B1 (ko) * 2009-04-06 2016-09-06 에이에스엠 아메리카, 인코포레이티드 반도체 프로세싱 리액터 및 그의 컴포넌트들
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
KR101775318B1 (ko) * 2009-04-06 2017-09-06 에이에스엠 아메리카, 인코포레이티드 반도체 프로세싱 리액터 및 그의 컴포넌트들
KR20110131266A (ko) * 2009-04-06 2011-12-06 에이에스엠 아메리카, 인코포레이티드 반도체 프로세싱 리액터 및 그의 컴포넌트들
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition

Also Published As

Publication number Publication date
US10468291B2 (en) 2019-11-05
US20160233124A1 (en) 2016-08-11
WO2006078666A2 (en) 2006-07-27
JP4934595B2 (ja) 2012-05-16
JP2012089863A (ja) 2012-05-10
WO2006078666A3 (en) 2006-10-12
KR101463581B1 (ko) 2014-11-20
US9359672B2 (en) 2016-06-07
KR20130027575A (ko) 2013-03-15
TW200701301A (en) 2007-01-01
EP1866465A2 (en) 2007-12-19
US8211230B2 (en) 2012-07-03
KR101332739B1 (ko) 2013-11-25
KR20070100354A (ko) 2007-10-10
US20120266821A1 (en) 2012-10-25
US20060266289A1 (en) 2006-11-30
JP5722753B2 (ja) 2015-05-27
TWI412063B (zh) 2013-10-11

Similar Documents

Publication Publication Date Title
JP5722753B2 (ja) 薄膜成長用反応装置
JP2008527748A5 (ja)
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
US8465801B2 (en) Gas mixer and manifold assembly for ALD reactor
US7020981B2 (en) Reaction system for growing a thin film
US7754013B2 (en) Apparatus and method for atomic layer deposition on substrates
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US6926775B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7648578B1 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
US20080072821A1 (en) Small volume symmetric flow single wafer ald apparatus
US20080029028A1 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
TWI723024B (zh) 用於改良的氣體分配的遞迴注入設備
JP3246139U (ja) 反応器マニホールド

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090119

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090119

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091208

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111205

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120207

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120220

R150 Certificate of patent or registration of utility model

Ref document number: 4934595

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150224

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250